集成电路系统设计、验证与测试

出版时间:2008-6  出版社:科学出版社  作者:Louis Scheffer  页数:475  
Tag标签:无  

内容概要

本书是“集成电路EDA技术”丛书之一,内容涵盖了IC设计过程和EDA,系统级设计方法与工具,系统级规范与建模语言,SoC的IP设计,MPSoC设计的性能验证方法,处理器建模与设计工具,嵌入式软件建模与设计,设计与验证语言,数字仿真,并详细分析了基于声明的验证,DFT,而且专门探讨了ATPG,以及模拟和混合信号测试等,本书还为IC测试提供了方便而全面的参考。    本书可作为从事电子科学与技术、微电子学与固体电子学以及集成电路工程的技术人员和科研人员即以高等院校师生的常备参考书。

书籍目录

第1部分  介绍 第1章  引言   1.1  集成电路电子设计自动化简介   1.2  系统级设计   1.3  微体系结构设计   1.4  逻辑验证   1.5  测试   1.6  RTL到GDSII,综合、布局和布线   1.7  模拟和混合信号设计   1.8  物理验证   1.9  工艺计算机辅助设计   参考文献 第2章  IC设计流程和EDA   2.1 绪论   2.2 验证   2.3  实  现   2.4 可制造性设计   参考文献第2部分  系统级设计 第3章  系统级设计中的工具和方法   3.1 绪论   3.2 视频应用的特点   3.3 其他应用领域   3.4 平台级的特点   3.5 基于模型的设计中计算和工具的模型   3.6 仿真   3.7 软、硬件的协同综合   3.8 总结   参考文献 第4章  系统级定义和建模语言   4.1 绪论   4.2 特定领域语言和方法的调研   4.3 异构平台及方法学   4.4 总结   参考文献 第5章  SOC基于模块的设计和IP集成   5.1  IP复用和基于模块设计的经济性问题   5.2  标准总线接口   5.3  基于声明验证的使用   5.4  IP配置器和生成器的使用   5.5  设计集成和验证的挑战   5.6  SPIRIT XML数据手册提案   5.7  总结   参考文献 第6章  多处理器的片上系统设计的性能评估方法   6.1 绪论   6.2 对于系统设计流程中性能评估的介绍   6.3 MPSoC性能评估   6.4 总结   参考文献 第7章  系统级电源管理   7.1 绪论   7.2 动态电源管理   7.3 电池监控动态电源管理   7.4 软件级动态电源管理   7.5 总结   参考文献 第8章  处理器建模和设计工具   8.1 绪论   8.2 使用ADL进行处理器建模   8.3 ADL驱动方法   8.4 总结   参考文献 第9章 嵌入式软件建模和设计   9.0 摘要   9.1 绪论   9.2 同步模型和异步模型   9.3  同步模型   9.4 异步模型   9.5 嵌入式软件模型的研究   9.6 总结   参考文献 第10章  利用性能指标为IC设计选择微处理器内核   10.1 绪论   10.2 作为基准点测试平台的ISS   10.3 理想与实际处理器基准的比较   10.4 标准基准类型   10.5  以往的性能级别MIPS、MOPS和MFLOPS   10.6 经典的处理器基准(早期)   10.7 现代处理器性能基准   10.8 可配置性处理器和处理器内核基准的未来   10.9 总结   参考文献 第11章  并行高层次综合:一种高层次综合的代码转换方法   11.1 绪论   11.2 技术发展水平的背景及调研   11.3 并行HLS   11.4  SPARK PHLS框架   11.5 总结   参考文献第3部分  微体系结构设计第4部分 逻辑验证第5部分 测试

章节摘录

  第1部分 介绍  第1章 引言  1.1 集成电路电子设计自动化简介  当今的集成电路(integrated circuit,IC)极其复杂,经常带有数百万器件。在设计流程的每一个阶段,如果没有软件(software,SW)的协助,仅仅靠手工是不可能设计出这些IC的。用于实现这一目的的工具统称为电子设计自动化(EDA)。  EDA工具的涵盖面非常广泛,从用于实现和验证功能的纯逻辑工具,到生成用于加工制造的数据和验证设计能够被加工所使用的纯物理工具,都属于EDA范畴。在下一章由RobertDamian0和Raul Camposan0编写的“IC设计流程和EDA”中,论述了IC的设计过程及其主要阶段和设计流程,以及EDA工具是如何适合这些过程和流程的。其中特别关注了主要IC设计阶段之间的接口,以及阶段间的交互信息,包括向上抽象和向下详细设计及验证的信息。  1.1.1 电子设计自动化简史  本章非常简要地概括了集成电路EDA的起源和发展历史。  在IC被发明之后,人们对设计工具的需求很快变得迫切起来。由于IC在加工之后不能像面包板那样轻松地进行修改,因此,即使测试一个简单的变化都要花费几周的时间(需要新的掩膜和新的加工流程),同时费用高昂。而且,因为IC内部的节点本身很微小,并且可能被IC中其他层次所覆盖,所以很难对其进行探测。即使这些问题都能解决,由于内部节点通常都带有很高的阻抗,因此,很难在不显著改变其特性的情况下进行测量。所以,几乎就在IC问世的那一刻,电路仿真器就成为IC设计中至关重要的一环。这些内容出现于20世纪60年代,在“模拟仿真:电路级和行为级”章节中有所涉及。  随着电路规模的增大,掩膜的制造亟需录入上的协助。起初有一些数字化的程序,虽然设计人员还是用彩色铅笔绘图,但是这些程序将坐标输入计算机,接着写入磁带,然后传送给掩膜制造设备。很快这些早期的程序被开发成完善的版图编辑器。首次开发这些程序是在20世纪60年代末和70年代初。如同“面向模拟IC和混合信号SoC的版图工具的调查”中证实的那样,虽然随着自动版图技术方面进行的多次实验,出现了一些更加自动优化的发展,但是现代时期模拟设计的版图仍然大幅度地依赖手工进行绘制。

图书封面

图书标签Tags

评论、评分、阅读与下载


    集成电路系统设计、验证与测试 PDF格式下载


用户评论 (总计9条)

 
 

  •   理论讲得不错,工程上的东西讲得比较少。
  •   超好的一本书看中文比英文快~~
  •   可惜还要买下集
  •   感觉有点深奥,对有一定电子设计经验的人来说是本好书。
  •   比较经典,内容很充实.
  •   专业内的每个方向都面面俱到,虽不详细,但是提纲挈领,讲清楚了来龙去脉
  •   国人写的东西都差不多。。理论内容太多,没有具体的实例。
  •   内容太全就意味着太浅
  •   印刷有问题,不是很满意,内容高大全,适合有一定基础的
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7