EDA技术与实验

出版时间:2009-1  出版社:李国洪、胡辉、沈明山、 王达伟 机械工业出版社 (2009-01出版)  作者:李国洪 等 著  页数:331  

前言

随着微电子技术和计算机技术的发展,电子信息产品更新换代的速度日新月异。实现这种进步的主要原因就是电子设计与制造技术的发展,其核心就是电子设计自动化(EDA,Electronics Design Automation)技术,EDA技术的发展和推广应用极大地推动了电子信息产业的发展.为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为一项不可缺少的先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广已形成“EDA热”,完全可以说,掌握EDA技术是电气信息与电子信息类专业学生、工程技术人员所必备的基本能力和技能。EDA技术在不同的发展时期有不同的内容,其含义已经不只局限在当初电路版图设计自动化的概念上,而当今的EDA技术更多的是指芯片内的电子系统设计自动化,即“片上系统”(SOC,System On Chip)设计。也就是说,开发人员完全可以通过自己的电子系统设计来定制其芯片内部的电路功能,使之成为设计者自己的等用集成电路(ASIC,ApplicationSpecific IC)芯片。在过去令人难以置信的事,今天已成为平常之事,使用一台计算机、一套EDA软件和一片或几片大规模可编程芯片(FPGA/CPLD或ispPAC).就能完成电子系统的设计。EDA技术涉及面广,内容丰富,但在教学和技术推广层面上,应用较为广泛的是基于可编程器件的EDA技术,它主要包括如下4大要素:1大规模可编程器件,它是利用EDA技术进行电子系统设计的载体;2硬件描述语言,它是利用EDA技术进行电子系统设计的主要表达手段;3软件开发工具,它是利用EDA技术进行电子系统设计的智能化的自动化设计工具;4实验开发系统,它是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。

内容概要

  本书作为普通高等教育“十一五”国家级规划教材,是一本应用性、实践性很强的技术基础课教材。全书涉及了有关可编程器件EDA技术的所有应用技术,并通过例题和设计实验向读者提供了技术学习的指导。在内容的组织和编写风格上,力求做到结合新颖而详尽的设计实例,深入浅出,信息量大,注重实践和设计技巧,使电类专业学生、工程技术人员使用本书迅速进入EDA领域,掌握从事电子系统设计工作所必备的基本能力和技能,并通过大量的设计实例和综合设计使不同层面的读者提高其EDA技术应用水平。  本书共分6章,包括EDA技术概述、可编程逻辑器件与数字系统的设计、MAX+plusⅡ软件的应用、QuartusⅡ软件的应用、VHDL设计基础和EDA综合设计。附录部分介绍了相关EDA实验开发系统的硬件配置、软件资源等,供读者参考。  本书可作为高等学校电子电气信息类、仪器仪表类、自动化类及其他相近专业本、专科生相关课程的教材和参考书,也可供相关专业的工程技术人员和各种EDA技术培训班学员学习、参考使用。

书籍目录

前言第1章 EDA技术概述1.1 EDA技术的发展及其未来1.1.1 EDA技术的发展进程1.1.2 未来的EDA技术1.2 EDA技术的构成要素及实验1.2.1 EDA技术的构成要素1.2.2 EDA技术与实验1.3 EDA软件系统的构成1.3.1 EDA软件的分类1.3.2 EDA软件系统的构成1.4 EDA与传统电子设计的比较1.4.1 传统的电子设计方法1.4.2 EDA电子设计方法1.5 基于可编程器件的EDA技术设计流程1.5.1 设计准备1.5.2 设计输入-源程序的编辑和编译1.5.3 设计实现1.5.4 器件编程与配置1.5.5 设计验证思考与练习第2章 可编程逻辑器件与数字系统的设计2.1 可编程逻辑器件概述2.1.1 可编程逻辑器件的发展与应用2.1.2 复杂可编程逻辑器件(CPLD)的基本原理一2.1.3 现场可编程门阵列(FPGA)的基本原理2.1.4 在系统可编程(ISP)技术与ispLSI逻辑器件2.1.5 CPLD和FPGA的选用2.2 Ahera系列可编程逻辑器件2.2.1 Ahera系列器件的性能特点与分类2.2.2 Ahera系列器件MAX7000的结构和原理2.2.3 Altera系列器件FLEX10K的结构和原理2.2.4 Ahera系列器件ACEX1K的结构和原理2.2.5 Ahera系列器件APEX20K的结构和原理2.2.6 边界扫描测试技术2.3 Ahera低成本FPGA-Cyclone系列2.3.1 Cyclone系列器件2.3.2 cyclone器件平面布局图2.3.3 Cyclone器件内部资源2.4 基于FPGA/CPLD的数字系统设计基础2.4.1 数字系统的基本模型与设计过程2.4.2 数字系统的设计方法2.4.3 基于FPGA/CPLD数字系统的设计规则思考与练习第3章 MAX+plusⅡ软件的应用3.1 MAX+plusⅡ概述3.1.1 MAX+plusⅡ简介3.1.2 工具按钮的使用3.2 MAX+plusⅡ的基本操作3.2.1 MAX+plusⅡ的安装3.2.2 MAX+plusⅡ的第一次运行3.2.3 MAX+plusⅡ的设计流程3.3 MAX+plusⅡ的设计输入方法3.3.1 图形输入3.3.2 文本输人3.3.3 波形输入3.3.4 MAX+plusⅡ的层次化设计3.4 MAX+plusⅡ的设计处理过程3.4.1 设计项目的建立与设计输入3.4.2 设计项目的编译3.4.3 设计项目的仿真3.4.4 定时分析3.4.5 器件编程3.5 MAX+plusⅡ的提高3.5.1 MAX+plusⅡ基本符号库的使用3.5.2 MAX+plusⅡ参数化兆功能模块库LPM的使用3.5.3 自定义参数化兆功能模块3.5.4 编辑逻辑功能符号3.5.5 添加用户符号库思考与练习实验实验3-1 半加器和全加器的原理图设计实验3-2 8位加法器的原理图设计实验3-3 4-16译码器的原理图设计实验3-4 六十进制计数器的原理图设计实验3-5 节拍脉冲发生器的原理图设计实验3-6 16位乘法器的原理图设计第4章 QuartusⅡ软件的应用4.1 QuartusⅡ概述4.1.1 QuartusⅡ的特点4.1.2 QuartusⅡ的设计流程4.2 QuartusⅡ的基本操作4.2.1 QuartusⅡ软件的安装4.2.2 QuartusⅡ软件的基本操作4.3 QuartusⅡ的设计输入4.3.1 图形编辑输入4.3.2 文本编辑输入4.3.3 QuartusⅡ软件宏功能模块的使用4.4 QuartusⅡ软件的综合与编译4.4.1 QuartusⅡ软件编译器的设置4.4.2 QuartusⅡ软件的布局布线4.4.3 设计文件的编译4.4.4 使用第三方EDA综合工具4.5 QuartusⅡ的仿真4.5.1 波形仿真文件的建立4.5.2 QuartusⅡ软件仿真器的设置4.5.3 设计文件的仿真4.6 QuartusⅡ的时序分析4.6.1 时序分析器简介4.6.2 标准时序分析的设置4.6.3 时序分析的运行4.7 QuartusⅡ的编程及配置4.7.1 QuartusⅡ器件编程的基本流程4.7.2 QuartusⅡ软件的器件编程4.8 QuartusⅡ的常用辅助设计工具的使用4.8.1 分配编辑器4.8.2 时序收敛平面布局规划器4.8.3 逻辑锁定4.8.4 芯片编辑器(Chip Editor)4.8.5 网络列表查看思考与练习实验实验4-1 QuartusⅡ软件原理图输入设计法实验4-2 QuartusⅡ软件VHDL文本输入设计法第5章 VHDL设计基础5.1 VHDL概述5.1.1 硬件描述语言简介5.1.2 VHDL的特点5.2 VHDL程序结构5.2.1 VHDL程序的基本结构与程序设计举例5.2.2 实体说明5.2.3 结构体与结构体的描述5.2.4 程序包5.2.5 库5.3 VHDL的基本数据类型5.3.1 数据对象5.3.2 数据类型5.3.3 标识符5.3.4 运算符5.3.5 VHDL属性5.4 VHDL的基本描述语句5.4.1 顺序语句5.4.2 并行语句5.5 子程序5.5.1 函数的定义与引用5.5.2 过程的定义与引用5.5.3 子程序重载5.6 基本逻辑电路设计5.6.1 组合逻辑电路的设计5.6.2 时序逻辑电路的设计5.7 状态机的VHDL设计5.7.1 状态机的基本结构和功能5.7.2 摩尔(MOORE)状态机的VHDL设计5.7.3 米立(MEALX)状态机的VHDL设计5.7.4 状态机的VHDL设计实例思考与练习第6章 EDA综合设计6.1 数字电路综合设计实例6.2 计算机接口设计实例6.3 数模混合电路设计实例思考与练习附录 EDA实验开发系统一、EDA实验开发系统的基本硬件配置二、配套开发软件资源三、部分硬件接口板原理四、设计指导与注意事项参考文献

章节摘录

第1章 EDA技术概述电子设计自动化(EDA,Electronics Design Automation)技术是电子设计技术和电子制造技术的核心,EDA技术的发展和推广应用极大地推动了电子信息行业的发展。本章简述了EDA技术的发展及其所涉及的内容,使读者对EDA技术的全貌、构成要素及其工程设计过程有一个全面的了解。1.1 EDA技术的发展及其未来EDA技术是现代电子信息工程领域的一门新技术,它是在先进的计算机工作平台上开发出来的一整套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法。随着EDA技术的不断发展,EDA技术在不同的时期有不同的内容,其含义已经不仅局限在当初的电路版图设计自动化的概念上,当今的EDA技术更多的是指芯片内的电子系统设计自动化,即片上系统(SOC,System On Chip)设计。也就是说,开发人员完全可以通过自己的电子系统设计来定制其芯片内部的电路功能,使之成为设计者自己的专用集成电路(ASIC,Application Specific IC)芯片。在SOC的设计过程中,除系统级设计、行为级描述及对功能的描述以外均可由计算机自动完成,同时,设计人员借助开发软件,可以将设计过程中的许多细节问题抛开,而将注意力集中在电子系统的总体开发上。这样大大减轻了工作人员的工作量,提高了设计效率,减少了以往复杂的工序,缩短了开发周期,实现了真正意义上的电子设计自动化。

编辑推荐

《EDA技术与实验》可作为高等学校电子电气信息类、仪器仪表类、自动化类及其他相近专业本、专科生相关课程的教材和参考书,也可供相关专业的工程技术人员和各种EDA技术培训班学员学习、参考使用。

图书封面

评论、评分、阅读与下载


    EDA技术与实验 PDF格式下载


用户评论 (总计3条)

 
 

  •   很好很不错,我很满意
  •   作为亚马逊的老客户了,每次购买图书都比较愉快,最低的价格买到正版图书,物有所值,顶!
  •   很一般的书,入门级教材
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7