从零开始学CPLD和Verilog HDL编程技术

出版时间:2006-8  出版社:国防工业  作者:刘建清  页数:254  
Tag标签:无  

内容概要

  CPLD(复杂可编程逻辑器件)在数字电子技术领域中的应用越来越广泛,尤其适合于新产品的开发与小批量生产,因此深受广大工程技术人员喜爱。  《从零开始学CPLD和Verilog HDL编程技术》定位于让初学者从零起步,轻松学会CPLD的系统设计技术。本书以ALTERA公司的系列芯片为目标载体,简要分析了可编程逻辑器件的结构和特点,以及相应开发软件的使用方法,同时,还用大量篇幅介绍了初学者最容易掌握的Verilog HDL硬件描述语言。本书完全以实战为主,通过实践的方法帮助读者加深理解CPLD的基本知识。  本书附赠光盘一张,光盘中包含了书中所有实验的源程序。  《从零开始学CPLD和Verilog HDL编程技术》可供从事各类电子系统设计的广大工程技术人员以及电子爱好者阅读,也可作为电子类专业的教材或教学参考书使用。

书籍目录

第一章 CPLD与FPGA概述第一节 可编程逻辑器件的发展及特点一、可编程逻辑器件的发展二、CPLD/FGPA的用途三、CPLD/FPGA的特点四、CPLD与FPGA的比较五、CPLD/FPGA和单片机的比较第二节 CPLD/FPGA的基本工作原理一、基于乘积项的CPLD的工作原理二、采用查找表的FPGA的工作原理第三节 Altera系列CPLD介绍一、MAX7000系列器件简介二、MAX7000系列器件的结构三、MAX7000系列器件功能描述第四节 Xilinx系列CPLD介绍一、XC9500系列器件简介二、XC9500系列器件的结构三、XC9500系列器件功能描述第五节 可编程逻辑器件的开发一、可编程逻辑器件的设计过程二、可编程逻辑器件设计举例第二章 CPLD实验仪介绍第一节 DP-MCU/Altera实验仪一、实验仪主要器件二、应用接口三、跳线接口四、原理简介第二节 DP—MCU/Xilinx实验仪一、实验仪主要器件二、应用接口三、跳线接口四、原理简介第三节 其他CPLD实验仪一、CPLDMCU下载仿真实验仪二、Altera CPLD开发板三、5l+CPLD学习板第三章 CPLD开发软件和仿真软件的使用第一节 Altera开发软件MAX+plusII的安装和使用一、MAX+plusII的安装二、MAX+plusII的使用第二节 Xmnx开发软件ISE WebPACK的安装和使用一、WebPACK软件的安装二、WebPACK软件的使用第三节 仿真Modelsim SE软件的安装和使用一、Modelsim SE 6.0软件的安装二、Modelsim SE 6.0软件的使用第四章 初识Verilog HDL第一节 硬件描述语言概述一、什么是硬件描述语言二、硬件描述语言的发展三、为何使用硬件描述语言第二节 Verilog HDL基本知识一、什么是Verilog HDL二、Verilog HDL的发展三、Verilog HDL与VHDL比较四、Verilog HDL与C语言的比较第三节 Verilog HDL模块介绍一、什么是模块二、模块的结构第五章 Verilog HDL数据类型与运算符第一节 Verilog HDL基本词法一、标识符二、关键字三、注释四、空白符第二节 Verilog HDL常量变量及其数据类型一、常量及其数据类型二、变量及其数据类型第三节 Verilog HDL运算符一、算术运算符二、逻辑运算符三、位运算符四、关系运算符五、等式运算符六、缩位运算符七、移位运算符八、条件运算符九、位拼接运算符第六章  Verilog HDL基本语句第一节 赋值语句一、持续赋值语句二、过程赋值语句第二节 块语句一、串行块语句beginIend二、并行块语句fork-join第三节 过程语句一、initial过程语句二、always过程语句第四节 条件语句一、if条件语句二、case条件语句第五节 循环语句一、forever语句二、repeat语句三、while语句四、for语句第六节 编译向导语句一、宏替换define二、文件包舍include三、条件编译ifdef、else、endif四、时间尺度timescale第七节 任务(task)和函数(function)说明语句一、任务(task)说明语句二、函数(function)说明语句第八节 系统任务与系统函数一、$display和$write任务二、$monitor与$strobe三、$time与$realtime四、$finish与$stop第七章 Verilog HDL的描述方式第一节 结构描述方式一、Verilog HDL内置门元件二、门级结构描述第二节 数据流描述方式第三节 行为描述方式第八章 用Verilog HDL描述数字电路第一节 基本门电路的设计一、与门二、或门三、非门四、与非门五、或非门六、异或门七、缓冲门八、三态门第二节 组合逻辑电路的设计一、数据选择器二、编码器三、译码器四、加法器第三节 双稳态触发器的设计一、RS触发器二、D触发器三、JK触发器四、T触发器第四节 时序逻辑电路的设计一、寄存器二、锁存器三、计数器第九章 CPLD实验与综合设计实例第一节 CPLD基本实验一、LED发光二极管实验二、键盘实验三、数码LED显示器实验四、音响实验第二节 CPLD综合设计实例一、乐曲演奏电路二、数字钟三、频率计四、交通灯参考文献

编辑推荐

  CPLD(复杂可编程逻辑器件)在数字电子技术领域中的应用越来越广泛,尤其适合于新产品的开发与小批量生产,因此深受广大工程技术人员喜爱。  本书定位于让初学者从零起步,轻松学会CPLD的系统设计技术。本书以ALTERA公司的系列芯片为目标载体,简要分析了可编程逻辑器件的结构和特点,以及相应开发软件的使用方法,同时,还用大量篇幅介绍了初学者最容易掌握的Verilog HDL硬件描述语言。本书完全以实战为主,通过实践的方法帮助读者加深理解CPLD的基本知识。本书附赠光盘一张,光盘中包含了书中所有实验的源程序。  本书可供从事各类电子系统设计的广大工程技术人员以及电子爱好者阅读,也可作为电子类专业的教材或教学参考书使用。

图书封面

图书标签Tags

评论、评分、阅读与下载


    从零开始学CPLD和Verilog HDL编程技术 PDF格式下载


用户评论 (总计0条)

 
 

 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7