数字集成电路

出版时间:2010-11  出版社:电子工业  作者:(美)拉贝艾|译者:周润德  页数:553  译者:周润德  
Tag标签:无  

前言

  自从美国加州大学伯克利分校的Jan M. Rabaey教授所著的《数字集成电路——电路、系统与设计》一书的第一版于1996年出版以来,一直深受国内外广大读者(包括本科生、研究生、教师和工程技术人员)的欢迎。然而自那时侯起,CMOS的制造工艺继续以惊人的步伐前进,目前已经达到了前所未有的深亚微米的精度。进入到深亚微米范围后,器件特性的变化引起了一系列的问题,它影响到数字集成电路的可靠性、成本、性能以及功耗。对这些问题的深入讨论是本书第二版(以0.25微米的CMOS工艺作为讨论的基础)与第一版(以1.2微米工艺作为讨论的基础)之间的主要区别。考虑到MOS电路现已占有99%的数字集成电路市场份额,第二版删去了第一版中有关双极型和GaAs的内容,从而完全集中在CMOS集成电路上。  第二版保留了第一版的写作基本精神和编写目的——在数字设计中建立起电路和系统之间的桥梁。不同于其他有关数字集成电路设计的教科书,本书不是孤立地介绍“数字电路”、“数字系统”和“设计方法”,而是把这三者有机地结合起来。全书共12章,分为三部分:基本单元、电路设计、系统设计。在对MOS器件和连线的特性做了简要的介绍之后,深入分析了数字设计的核心——反相器,并逐步将这些知识延伸到组合逻辑电路、时序逻辑电路(锁存器与寄存器)、控制器、运算电路(加法器、乘法器)以及存储器这些复杂数字电路单元的设计。为了反映数字集成电路设计进入深亚微米领域后正在发生的深刻变化,第二版增加了许多新的内容,包括深亚微米器件效应、电路最优化、互连线建模和优化、信号完整性、时序分析、时钟分配、高性能和低功耗设计、设计验证、对实际制造芯片的确认和测试。在阐述所有这些内容时都列举了现今最先进的设计例子,以着重说明深亚微米数字集成电路设计面临的挑战和启示。本书特别把设计方法学单独列出并分插在有关的各章之后,以强调复杂电路设计者共同面临的感兴趣的问题,即起决定作用的设计参数是什么,设计的哪些部分需要着重考虑而哪些部分又可以忽略,此外还强调了在进行数字电路设计时一定要同时注意电路和系统两方面的问题。每章后面都对未来的发展趋势给出了综述和展望。通过这一独特的介绍分析技术和综合技术的方法,第二版最有效地为读者带来了处理复杂问题所需要的基本知识和设计技能。  本书可作为高等院校电子科学与技术(包括微电子与光电子)、电子与信息工程、计算机科学与技术、自动化等专业高年级本科生和研究生有关数字集成电路设计方面课程的教科书。由于涉及面广并且增加了当前最先进的内容,也使这本教材成为对这一领域的工程技术人员非常有用的参考书。  本书在翻译过程中得到了电子工业出版社的大力支持,得到了清华大学微电子学研究所领导和多位教师的关心,特别是得到了朱钧教授、贺祥庆教授、吴行军副教授、李树国副教授以及海燕、韦莹、钱欣、郝效孟、陆自强、郭磊等多位老师的帮助与指正。我的博士研究生戴宏宇、张盛、王乃龙、杨骞、肖勇、张建良以及博士研究生董良等在完成译稿过程中给予了我很大的支持。我的妻子金申美和女儿周晔不仅帮助翻译修改了部分章节,而且完成了全部的文字输入和文稿整理。在此一并深表谢意。  最后,本书虽经仔细校对,但由于译者水平有限,文中定会有不当或欠妥之处,望读者批评指正。

内容概要

本书是美国加州大学伯克利分校经典教材。本书分三部分:基本单元、电路设计和系统设计。在对MOS器件和连线的特性做了简要介绍之后,深入分析了反相器,并逐步将这些知识延伸到组合逻辑电路、时序逻辑电路、控制器、运算电路及存储器这些复杂数字电路与系统的设计中。本书以0.25微米CMOS工艺的实际电路为例,讨论了深亚微米器件效应、电路最优化、互连线建模和优化、信号完整性、时序分析、时钟分配、高性能和低功耗设计、设计验证、芯片测试和可测性设计等主题,着重探讨了深亚微米数字集成电路设计面临的挑战和启示。    本书可作为高等院校电子科学与技术、电子与信息工程、计算机科学与技术等专业高年级本科生和研究生有关数字集成电路设计方面课程的教科书,也可作为从事这一领域的工程技术人员的参考书。

书籍目录

第一部分  基 本 单 元 第1章  引论   1.1  历史回顾   1.2  数字集成电路设计中的问题   1.3  数字设计的质量评价   1.4  小结   1.5  进一步探讨  第2章  制造工艺   2.1  引言    2.2  CMOS集成电路的制造   2.3  设计规则——设计者和工艺工程师之间的桥梁    2.4  集成电路封装   2.5  综述:工艺技术的发展趋势   2.6  小结    2.7  进一步探讨   设计方法插入说明A——IC版图 第3章  器件   3.1  引言   3.2  二极管   3.3  MOS(FET)晶体管   3.4  关于工艺偏差   3.5  综述:工艺尺寸缩小   3.6  小结   3.7  进一步探讨    设计方法插入说明B——电路模拟 第4章  导线   4.1  引言   4.2  简介   4.3  互连参数——电容、电阻和电感   4.4  导线模型   4.5  导线的SPICE模型   4.6  小结   4.7  进一步探讨第二部分  电 路 设 计 第5章  CMOS反相器   5.1  引言   5.2  静态CMOS反相器——直观综述   5.3  CMOS反相器稳定性的评估——静态特性   5.4  CMOS反相器的性能——动态特性   5.5  功耗、能量和能量延时   5.6  综述:工艺尺寸缩小及其对反相器衡量指标的影响   5.7  小结   5.8  进一步探讨 第6章  CMOS组合逻辑门的设计   6.1  引言   6.2  静态CMOS设计   6.3  动态CMOS设计   6.4  设计综述   6.5  小结   6.6  进一步探讨 设计方法插入说明C——如何模拟复杂的逻辑电路 设计方法插入说明D——复合门的版图技术 第7章  时序逻辑电路设计   7.1  引言   7.2  静态锁存器和寄存器   7.3  动态锁存器和寄存器   7.4  其他寄存器类型    7.5  流水线:优化时序电路的一种方法    7.6  非双稳时序电路    7.7  综述:时钟策略的选择   7.8  小结   7.9  进一步探讨 第三部分  系 统 设 计 第8章  数字IC的实现策略   8.1  引言   8.2  从定制到半定制以及结构化阵列的设计方法   8.3  定制电路设计   8.4  以单元为基础的设计方法   8.5  以阵列为基础的实现方法   8.6  综述:未来的实现平台   8.7  小结    8.8  进一步探讨 设计方法插入说明E——逻辑单元和时序单元的特性描述 设计方法插入说明F——设计综合  第9章  互连问题   9.1  引言   9.2  电容寄生效应   9.3  电阻寄生效应    9.4  电感寄生效应   9.5  高级互连技术   9.6  综述:片上网络   9.7  小结   9.8  进一步探讨 第10章  数字电路中的时序问题   10.1  引言   10.2  数字系统的时序分类   10.3  同步设计——一个深入的考察   10.4  自定时电路设计   10.5  同步器和判断器   10.6  采用锁相环进行时钟综合和同步   10.7  综述:未来方向和展望   10.8  小结    10.9  进一步探讨  设计方法插入说明G——设计验证 第11章  设计运算功能块   11.1  引言    11.2  数字处理器结构中的数据通路   11.3  加法器   11.4  乘法器    11.5  移位器   11.6  其他运算器   11.7  数据通路结构中对功耗和速度的综合考虑   11.8  综述:设计中的综合考虑   11.9  小结   11.10 进一步探讨  第12章  存储器和阵列结构设计   12.1  引言   12.2  存储器内核    12.3  存储器外围电路   12.4  存储器的可靠性及成品率   12.5  存储器中的功耗   12.6  存储器设计的实例研究   12.7  综述:半导体存储器的发展趋势与进展    12.8  小结    12.9  进一步探讨  设计方法插入说明H——制造电路的验证和测试思考题答案

编辑推荐

  《数字集成电路:电路、系统与设计(第2版)》:  自《数字集成电路:电路、系统与设计(第2版)》第一版于1996年出版以来,CMOS制造工艺继续以惊人的速度向前推进,工艺特征尺寸越来越小,而电路也变得越来越复杂,这对设计者的设计技术提出了新的挑战。器件在进入深亚微米范围后有了很大的不同,从而带来了许多影响数字集成电路的成本、性能、功耗和可靠性的新问题。《数字集成电路:电路、系统与设计(第2版)》第二版反映了进入深亚微米范围后所引起的数字集成电路领域的深刻变化和新进展,特别是深亚微米晶体管效应、互连、信号完整性、高性能与低功耗设计、时序及时钟分布等,起着越来越重要的作用。与第一版相比,这个版本更全面集中地介绍了CMOS集成电路。  《数字集成电路:电路、系统与设计(第2版)》特色  只关注深亚微米CMOS器件。开发了一个用于手工分析的称为“通用MOS模型”的晶体管简单模型,并在全书中采用  设计举例从实际出发,强调数字集成电路的设计。突出了设计中的难点和设计指导。所有例子和思考题都采用0.25微米CMOS工艺  “设计方法插入说明”分散地穿插在书中,强调了设计方法学和设计工具在今天的设计过程中的重要性每章末尾的综述探讨了未来的技术发展趋势

图书封面

图书标签Tags

评论、评分、阅读与下载


    数字集成电路 PDF格式下载


用户评论 (总计85条)

 
 

  •   对数字电路设计感兴趣的朋友可以参考这本书,这本书比较详尽的叙述了数字电路的设计,系统的集成等方面的知识.
  •   我们上课就用这本,国内的微电子专业的数字集成电路课应该都用这本教材吧!
  •   数字集成电路的经典教材,课堂上要用的书
  •   数字集成电路经典之作~~清华教授写的~~做数字的值得一看~~有空细细拜读~~~
  •   还没仔细看,不过是我们学集成电路,特别是数字电路的,极好的教材
  •   我是集成电路方面的新手,这本书对我的作用还挺大的,使我对集成电路有了比较深入的了解
  •   学习集成电路时,很有必要放在手边的书啊
  •   比较经典的书,电路设计的好书
  •   数字IC人员必备,我们老师上课就是这本书。很好的。
  •   这本书,内容讲解深入细致、全面。推荐搞数字IC的同行购买
  •   很好的一本书,很专业,电子与通信类的学生很适合
  •   质量很好 内容全 是我们的教科书
  •   这是我的教科书,上课必带
  •   老师推荐的教科书,很不错的入门书哦~~
  •   感觉讲的还算系统
  •   这本书我们是作为教材用书,老师推荐的,书的内容很丰富,受益匪浅。
  •   微电子专业课书!质量挺好!推荐购买!
  •   这本书很不错!!质量也还可以!!值得专业学习!!
  •   扫盲性的书籍,但是确实是不错的一本书
  •   相当经典的一本书,值得看
  •   研究生水平的,读着很吃力
  •   不是我崇洋媚外,这书确实写的很专业,也很贴近应用。理论联系了实际。
  •   经典教材之一,搞IC 的必备
  •   质量不错,课程需要啊!!!
  •   大学教材,你们懂得
  •   老师规定的教材,很好,内容很多
  •   挺不错,国外教材,内容充实
  •   详细,感觉这本书可以作为入门级乃至中级教程
  •   真本书真的很不错,一定要好好学习。
  •   很不错的一本书,EE必备
  •   这本书还不错,值得去学习
  •   这本书不错,跟我们老师的课件差不多
  •   这本书是我这几本书里的核心书目,可是质量却没别的那么好。纸质不行,还有一股略微发臭的味道
  •   学院要求的课本,经典中的经典,印刷很好,质量很好。
  •   介绍的挺细节,基础。。。值得深读~
  •   厚重 专业
  •   作为专业书籍,没有什么其他的选择
  •   很少有外国教材的好翻译,但是这一本真的很棒!
  •   教材挺好的。
  •   真的是本好教材!
  •   业余上课时要求的教材,对工作有一定帮助。
  •   内容比较深奥,适合有一定基础知识的人看。。。不建议作为入门级的用。。。
  •   经典书籍,但是为什么其他的都会一直缺货呢,真是郁闷。
  •   还没看,但听说是挺经典的书。
  •   很经典的书,不过有些东西讲的也不是很详细
  •   给老公买的,说是做工具书用,很厚实的一本,呵呵。。
  •   同时期同类网站里是最便宜的啦!满300减100,给力耶!
  •   纸张没有想象中那么好
    但还过得去
  •   是正版,但是还是看到些印刷错误之处,不过内容还是很详细的
  •   书很好,内容很丰富,下次还买
  •   正品书,质量不错,上课急用,物流也给力,内容确实写得不错,老师推荐的!
  •   质量挺不错的,而且挺厚的,内容方面也挺好!!!!!!!!
  •   内容很全面,翻译也很到位
  •   内容很牛逼,如果能从头到尾看完,我的数电应该就很牛了。
    发货也很快。。
    很好。
  •   刚到手就发现打折了,影响心情!!!
  •   还不错,只是看着有点小吃力,理解需要时间
  •   帮朋友买的,朋友觉得很不错,点赞
  •   已经有了英文版,中文版拿来对照使用
  •   书籍非常不错!
  •   有深度,覆盖面也足够,需要好好研读一番
  •   上课要用,也有可能时天书,好好学吧
  •   结构清淅,值的一读
  •   正准备好好看,相信可以获益良多
  •   书挺好的,质量也可以。
  •   发货速度很快,纸质还不错
  •   只有当当有售!还是货到付款!
  •   不错速度快正版
  •   大体都很好,买了5本,有一本有点破、有点脏,剩下的都很好
  •   插图好看
  •   质量有点次
  •   书不错,送货速度也很给力。
  •   很好的书,打算朝这个方向试试,希望能有作用。大概看了下,觉得讲的比较好易懂。
  •   听说挺好的书
  •   硅谷圣经
  •   书质量不错,看着人心情好
  •   首屈一指的好书,值得推荐!
  •   不错,基本算全的了,只是有些地方还不够全,有些比较重要的点没有讲到。总体算不错。
  •   还行,不适合入门书籍
  •   书不错 快递也很给力
  •   配合老师上课使用,当做参考
  •   发货快,第二天收到的,正版,货到付款,包装一般,当当的质量值得信任。
  •   书非常好,送货速度很快
  •   数字集成电路——电路、系统与设
  •   好书,看过后很有收获。
  •   肖秀荣2014考研政治命题人1000题
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7