EDA技术基础

出版时间:2009-8  出版社:清华大学出版社  作者:焦素敏 编  页数:317  字数:474000  

前言

  EDA(电子设计自动化)技术是近年迅速发展起来的计算机软件、硬件和微电子交叉的现代电子设计学科,是现代电子工程领域的一门新技术。它是以可编程逻辑器件(PLD)为物质基础、以计算机为工作平台、以EDA工具软件为开发环境、以硬件描述语言(HDL)作为电子系统功能描述的主要方式、以电子系统设计为应用方向的电子产品自动化设计过程。  在当今这个以数字化和网络化为特征的信息技术革命大潮中,电子技术获得了飞速发展,现代电子产品几乎渗透到社会的各个领域。现代电子产品的性能也在进一步提高,产品更新换代的节奏也越来越快。不仅如此,现代电子产品正在以前所未有的革新速度,向着功能多样化、体积小型化、功耗最低化的方向迅速发展。所有这些,都离不开EDA技术的有力支持,因此有专家指出,现代电子设计技术的发展主要体现在EDA工程领域。EDA是电子产品开发研制的动力源和加速器,是现代电子设计的核心。因此,在大中专院校的电子、通信、控制、计算机等各类学科的教学中引入EDA技术的内容,以适应现代电子技术的飞速发展是很有必要的。  本书是作者在多年从事EDA教学的基础上,充分吸收读者对已出版使用的《EDA应用技术》的反馈意见,综合EDA技术的特点和发展现状编写而成。在内容的安排和取舍上,既考虑了EDA技术本身的系统性和完整性,又考虑了EDA技术的实用性和教学可操作性,尽量做到理论与实践有机结合。全书内容按照可编程逻辑器件EDA开发流程与工具软件-VHDL语言常用VHDL设计实例MAX+plusⅡ软件及应用一QuartusⅡ软件及应用EDA技术综合应用设计实例EDA实验开发系统及实验的顺序编写。无论是器件的介绍、硬件描述语言(HDL)的讲解,还是EDA工具软件的使用,都以目前市场上应用广泛的主流内容来展开,力求重点突出,避免面面俱到、纷杂而不深入。此外,由于EDA是一门实践性很强的技术,不能仅仅停留在理论学习上,故本书特别注重对读者应用能力的培养。通过第4、5、8章大量的设计实例,可以帮助读者较好地掌握用VHDL(超高速集成电路硬件描述语言)进行电子系统设计的方法。

内容概要

本书从EDA技术的应用角度出发,简明而系统地介绍了EDA技术的相关内容。包括EDA技术的概念及特点,EDA技术的物质载体——可编程逻辑器件,EDA技术的设计语言——硬件描述语言VHDL,EDA技术的开发设计流程和工具软件MAX+plusⅡ及QuartusⅡ。此外,第5章给出了大量常用VHDL设计实例,第8章详细阐述了几个典型的EDA技术综合应用设计实例,并在第9
章编写了多个实验项目。 全书在取材和编排上,力求理论联系实际,由浅入深,循序渐进。每章后面附有小结和习题,便于读者学习和教学使用。
本书可作为高职高专电子信息、通信、自动化、计算机等相关专业的教材及社会相关技术的培训教材,也可作为相关学科工程技术人员的参考书,还可作为电子产品制作、科技创新实践、EDA课程设计和毕业设计等实践活动的参考书。

书籍目录

第1章  概述
1.1 EDA技术及其重要性
1.1.1 EDA技术的实例引入
1.1.2 EDA技术的概念
1.1.3 EDA技术的重要性
1.2 EDA技术的知识体系
1.2.1 可编程逻辑器件
1.2.2 硬件描述语言
1.2.3 EDA丁具软件
1.3 EDA技术的特点和发展趋势
1.3.1 EDA技术的主要特点
1.3.2 EDA技术的发展趋势
1.4 专用集成电路
1.4.1 ASIC的概念和分类
1.4.2 可编程ASIC技术展望
本章小结
思考题与习题
第2章 可编程逻辑器件
2.1 概述
2.1.1 可编程逻辑器件的发展历程
2.1.2 可编程逻辑器件的分类
2.1.3 PLD的基本结构
2.1.4 PLD逻辑符号的画法和约定
2.2 简单PLD
2.2.1 PAL
2.2.2 GAL
2.3 CPLD和FPGA
2.3.1 CPLD的基本结构
2.3.2 FPGA的基本结构
2.3.3 Altera公司器件介绍
2.4 在系统可编程逻辑器件
2.4.1 在系统可编程技术的特点
2.4.2 ispLSl的结构及系列器件介绍
2.4.3 ispGDS介绍
2.5 FPGA和CPLD的应用选择
2.5.1 FPGA和CPLD的性能比较
2.5.2 FPGA和CPLD的选用依据
本章小结
思考题与习题
第3章 EDA工具软件与设计入门
3.1 EDA设计流程
3.1.1 设计输入
3.1.2 设计实现
3.1.3 设计仿真
3.1.4 编程或配置
3.2 CPLD和FPGA的编程与配置方法
3.2.1 CPLD的ISP方式编程
3.2.2 使用PC并行口配置FPGA
3.2.3 用专用配置器件配置FPGA
3.2.4 使用单片机配置FPGA
3.3 常用EDA工具软件
3.3.1 MAX+plusⅡ概述
3.3.2 Lattice公司设计软件概述
3.4 MAX+plusⅡ的原理图输入设计示例
3.4.1 一位全加器的设计
3.4.2 2位十进制计数译码电路的设计
3.4.3 总结与补充说明
本章小结
思考题与习题
第4章 VHDL硬件描述语言
4.1 VHDL概述
4.1.1 VHDL的优点
4.1.2 VHDL与Verilog、ABEL语言的比较
4.1.3 学习VHDL的注意事项
4.2 VHDL程序结构
4.2.1 简单VHDL程序举例
4.2.2 实体
4.2.3 结构体
4.2.4 库
4.2.5 程序包
4.2.6 配置
4.3 VHDL语言要素
4.3.1 文字规则
4.3.2 数据对象
4.3.3 数据类型
4.3.4 类型转换
4.3.5 操作符
4.4 VHDL顺序语句
4.4.1 IF语句
4.4.2 CASE语句
4.4.3 LOOP语句
4.4.4 NEXT语句
4.4.5 EXIT语句
4.4.6 wAIT语句
4.4.7 RETURN语句
4.4.8 NULL语句
4.5 VHDL并行语句
4.5.1 进程语句
4.5.2 并行信号赋值语句
4.5.3 块语句
4.5.4 元件例化语句
4.5.5 生成语句
*4.6 子程序及子程序调用语句
4.6.1 子程序的定义
4.6.2 子程序的调用
4.6.3 子程序的重载
*4.7 其他语句
4.7.1 断言语句
4.7.2 报告语句
4.7.3 属性语句
本章小结
思考题与习题
第5章 常用VHDL设计实例
5.1 组合逻辑电路设计
5.1.1 门电路的设计
5.1.2 常用组合电路的设计
5.2 时序逻辑电路设计
5.2.1 触发器设计
5.2.2 移位寄存器设计
5.2.3 计数器设计
5.3 状态机设计
5.3.1 摩尔型状态机的设计
5.3.2 米里型状态机的设计
5.4 存储器设计
5.4.1 只读存储器
5.4.2 静态随机存储器
5.4.3 先人后出堆栈
5.5 特色实用电路设计
5.5.1 计数器型防抖动电路设计
5.5.2 积分分频器电路设计
本章小结
思考题与习题
第6章 MAX+plusⅡ使用进阶
6.1 MAX+plusⅡ的VHDL设计向导
6.1.1 创建源程序cnt4.vhd
6.1.2 创建源程序dec17s.vhd
6.1.3 完成顶层文件设计
6.1.4 顶层工程文件的处理
6.1.5 设计项目的其他信息和资源配置
6.2 LPM的调用方法
6.2.1 LPM的原理图调用方法
6.2.2 LPM的VHDL文本方式调用
6.3 波形输入设计方法
6.4 用MAX+plusⅡ优化设计
6.4.1 全局逻辑综合选项
6.4.2 局部逻辑综合选项
6.4.3 探针的使用
6.4.4 打包
6.5 其他设置
6.5.1 编程文件转换
6.5.2 MAX+plusⅡ的安装
本章小结
思考题与习题
第7章 QuartusⅡ软件及应用
7.1 QuartusⅡ软件的图形用户界面
7.1.1 工程导航区
7.1.2 状态区
7.1.3 信息区
7.1.4 丁作区
7.1.5 快捷命令丁具栏
7.2 QuartusⅡ软件的图形编辑输入法
7.2.1 创建工程设计项目
7.2.2 编辑设计原理图
7.2.3 设计编译
7.2.4 设计仿真
7.2.5 引脚锁定与编程下载
7.3 QuartusⅡ软件的文本编辑输入法
7.3.1 编辑设计文件
7.3.2 创建工程
7.3.3 编译
7.3.4 仿真
7.3.5 引脚锁定与下载
7.4 层次电路设计
7.4.1 顶层文件设计
7.4.2 创建各模块的下层设计文件
7.4.3 设计项目的编译仿真
7.4.4 层次显示
本章小结
思考题与习题
第8章 EDA技术综合应用设计实例
8.1 数字钟
8.1.1 数字钟的设计要求
8.1.2 数字钟的顶层结构
8.1.3 数字钟各模块的VHDL源程序设计
8.2 智力竞赛抢答器
8.2.1 抢答器的功能描述
8.2.2 抢答器的设计
8.3 交通灯控制器
8.3.1 交通灯控制器功能要求
8.3.2 交通灯控制器的设计
8.3.3 仿真波形
8.3.4 下载验证
8.4 8路彩灯控制器
8.4.1 8路彩灯控制器的功能要求
8.4.2 8路彩灯控制器的设计
8.4.3 下载验证
8.5 简易数字频率计
8.5.1 设计任务
8.5.2 数字频率计的设计
本章小结
思考题与习题
第9章 EDA实验开发系统与实验
9.1 Gw48系列实验开发系统使用说明
9.1.1 Gw48系统使用注意事项
9.1.2 GW48系统主板结构与使用方法
9.1.3 实验电路结构图
9.1.4 GW48-CK/GK/PK系统结构图信号与芯片引脚对照表
9.2 EDA实验
9.2.1 实验1——用原理图输入法设计4位全加器
9.2.2 实验2——计数译码显示电路设计
9.2.3 实验3——计数器的设计
9.2.4 实验4——简易彩灯控制器
9.2.5 实验5——用原理图输入法设计4位十进制计数译码器
9.2.6 实验6——用原理图输入法设计2位十进制频率计
9.2.7 实验7——序列检测器设计
9.2.8 实验8——用LPM设计8位数控分频器和4位乘法器
9.2.9 实验9——脉宽可调的方波信号发生器设计
9.2.10 实验10——“梁祝”乐曲演奏电路
参考文献

章节摘录

  第1章 概述  学习EDA技术,首先要了解EDA技术的基本概念及相关知识。本章从实例人手,阐述EDA技术的基本概念、知识体系、技术特点和发展趋势,并对专用集成电路及EDA技术在可编程ASIC方面的应用前景进行简单介绍。  1.1 EDA技术及其重要性  1.1.1 EDA技术的实例引入  随着现代电子技术的飞速发展,现代电子产晶几乎渗透到了社会的各个领域。无论是现代高精尖的电子设备如雷达、微机、手机等,还是读者熟悉的电子钟表、大屏幕显示器等日常电子产品,其核心构成都是电子系统。这些现代化的电子产品有力地推动了社会生产力的发展和社会信息化程度的提高,同时,随着微电子技术和计算机技术的发展,电子系统的设计方法和设计手段也发生了很大的变化。进入20世纪90年代以后,EDA技术的迅速发展和普及给电子系统的设计带来了革命性的变化,并已渗透到电子系统设计的各个领域。  传统的电子系统设计一般是对电路板进行设计,把所需要的具有固定功能的标准集成电路像积木块一样布置于电路板上,通过设计电路板来实现系统功能。以简单的典型的数字钟为例,如果按照常规的数字电路设计方法,需要用多种集成芯片按一定方式连接而成,如图1—1所示,其工作原理简单阐述如下。

图书封面

评论、评分、阅读与下载


    EDA技术基础 PDF格式下载


用户评论 (总计0条)

 
 

 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7