PADS2005电路原理图与PCB设计

出版社:渠丰沛、胡波、 于学禹 机械工业出版社 (2009-04出版)
出版日期:2009-4
作者:渠丰沛,胡波,于学禹 等 著
页数289
《PADS2005电路原理图与PCB设计》从实用的角度出发,系统地介绍了PADS2005的基本操作环境,重点介绍了原理图设计和PCB设计,同时对电路仿真也进行了详细介绍。PADS2005是美国Ment,

[图书详细信息]

专用集成电路设计实用教程

出版社:浙江大学出版社
出版日期:2007-1
作者:虞希清
页数281
在现代的IC设计中,工程师们广泛地使用数字电路的逻辑综合技术。工程师们使用RTL代码和IP描述设计的功能,进行高级设计,用综合工具对设计进行编辑和优化,以实现满足设计目标的电路。根据多年为客户进行技术,

ASIC,IC,技术,外国技术,微电子,电路设计,经典

[图书详细信息]

半导体集成电路制造手册

出版社:电子工业
出版日期:2006-12
作者:耿怀玉
页数732
本书是一本综合性很强的参考书,由60名国际专家编写,并由同等水平的顾问组审校。内容涵盖相关技术的基础知识和现实中的实际应用,以及对生产过程的计划、实施和控制等运营管理方面的考虑。涉及制造工艺和辅助设施,

专业技术,电子工程,饭饭

[图书详细信息]

微系统封装原理与技术

出版社:电子工业出版社
出版日期:2006-9
作者:邱碧秀
页数228
封装是一个跨领域的技术。本书全面系统地介绍了封装技术,旨在使读者能对此技术全面掌握。全书分为原理介绍、分析测试及应用三部分。在原理介绍部分,重点介绍了电性设计、热管理、材料的选择和制程设计。在分析、测,

[图书详细信息]

CMOS模拟集成电路设计

出版社:电子工业出版社
出版日期:2005-3
作者:(美国)艾伦等著、冯军等译
页数644
《CMOS模拟集成电路设计》(第2版)通过大量设计实例阐述设计原理,将理论与实践融为一体,同时还针对许多工业界人士的需求和问题进行了分析和解释,因而《CMOS模拟集成电路设计》(第2版)不仅可以用做大,

集成电路,IC,微电子,模拟集成电路,analog,电路设计,教材,经典

[图书详细信息]

数字集成电路

出版社:电子工业出版社
出版日期:2004-10
作者:拉贝艾
页数553
电路、系统与设计,《国外电子与通信教材系列·数字集成电路:电路、系统与设计(第2版)》由美国加州大学伯克利分校JanM.Rabaey教授撰写。全书共12章,分为三个部分:基本单元、电路设计和系统设计。《数字集成电路——,

IC,微电子,数字集成电路,电路设计,教材,集成电路,电子,专业

[图书详细信息]

微电子制造技术概论

出版社:清华大学出版社
出版日期:2010-3
作者:严利人 等 著
页数164
《微电子制造技术概论》介绍和描述了集成电路工艺制造的成套工艺流程和各工艺单步的技术内容。对于流程的介绍,除举例和说明一般性流程特点之外,专有一章说明了流程调度实施的技术与算法;对于各工艺单步,首先根据,

工艺,微电子,核心必读!

[图书详细信息]

模拟CMOS集成电路设计

出版社:清华大学出版社
出版日期:2010-3
作者:魏廷存 等 著
页数304
《模拟CMOS集成电路设计》是作者结合自己多年的科研实践,在参考国内外同类教材的基础上,精心编著而成的。《模拟CMOS集成电路设计》结合现代CMOS工艺的发展,从元器件出发,详细分析了各种典型模拟CM,

[图书详细信息]

集成电路设计CAD/EDA工具实用教程

出版社:韩雁、韩晓霞、 丁扣宝 机械工业出版社 (2010-09出版)
出版日期:2010-9
作者:韩雁 等 著
页数347
《高等院校EDA系列教材•集成电路设计CAD/EDA工具实用教程》基于IC设计实例,系统全面地介绍了模拟集成电路设计和数字集成电路设计所需CAD/EDA工具的基础知识和使用方法。模拟集成电路设计以Ca,

IC,可借,教材,模拟,通信

[图书详细信息]

数字集成电路设计验证

出版社:科学出版社
出版日期:2010-5
作者:李晓维 等 著
页数411
《数字集成电路设计验证:量化评估、激励生成、形式化验证》内容涉及数字集成电路设计验证的三个主要方面:量化评估、激励生成和形式化验证。主要包括寄存器传输级(RTL)电路建模、基于可观测性的覆盖率评估方法,

IC

[图书详细信息]

电路板设计与制作

出版社:杜刚 清华大学出版社 (2010-02出版)
出版日期:2010-2
作者:杜刚、张东霞、王启宁、戎华洪
页数249
《电路板设计与制作:Protel应用教程》的编写不是完全按照Protel软件操作界面的顺序逐一介绍,而是从实用的角度出发,按照项目研发过程中进入电路设计和制作阶段的实际需要来应用Protel软件,从而,

电路设计,1,不错,电子,电子学,电子电路,电路电子

[图书详细信息]

实用集成控制线路200例

出版社:中国电力出版社
出版日期:2009-6
作者:周遐,钟思佳 编
页数219
《实用集成控制线路200例》是《实用控制线路实例丛书》之一。《实用集成控制线路200例》比较系统地介绍了各类常用的实用集成控制线路,这些电路大多是电子技术和电气控制中的典型应用,内容涵盖了工农业生产和,

[图书详细信息]

图解PowerPCB轻松入门与提高

出版社:人民邮电出版社
出版日期:2009-6
作者:云峻岭 等 著
页数293
《图解PowerPCB轻松入门与提高》以PCB制板的基本概念和操作流程为主线,全面详细地讲解了利用PowerPCB进行PCB设计的基本流程和实用方法,从PCB的基本操作到高速系统的设计,循序渐进地进行,

;,jhg

[图书详细信息]

巧学巧用模拟集成电路实用技术

出版社:电子工业出版社
出版日期:2009-5
作者:孙余凯 等 著
页数301
《巧学巧用模拟集成电路实用技术》以介绍模拟集成电路的基础知识为切入点,以讲解巧学巧用的技能方法为基点,详细介绍了功率放大、运算放大、稳压、语音、时基等各种集成电路的类型、引脚识别,以及典型应用方式与实,

[图书详细信息]

PADS 2007高速电路板设计

出版社:人民邮电出版社
出版日期:2009-2
作者:赵光
页数356
《PADS 2007高速电路板设计》由浅入深地介绍了设计高速电路板的软件平台PADS 2007的使用方法和技巧,详细介绍了原理图设计、元件库、PCB元件的布局、布线及高速PCB的设计仿真等内容。在《P,

[图书详细信息]

CMOS模拟IP线性集成电路

出版社:北京北大方正出版社
出版日期:2007-12
作者:吴金,姚建楠,常昌远
页数363
《CMOS模拟IP线性集成电路》全面系统地介绍了CMOS模拟IP线性集成电路的结构、相关分析及设计技术。全书共12章,主要包括CMOS模拟电路中基本的元器件模型及应用;高稳定的电压电流偏置结构,与温度,

[图书详细信息]

微电子机械加工系统

出版社:冶金工业出版社
出版日期:2009-3
作者:孙以材,庞冬青 著
页数194
《微电子机械加工系统(MEMS)技术基础》还详细介绍了电学,热学和力学有限元方法的要领,相关软件的使用及硅片的加工处理方法。阅读《微电子机械加工系统(MEMS)技术基础》,可以为MEMS元件的设计和制,

[图书详细信息]

微电子技术

出版社:国防工业出版社
出版日期:2008-7
作者:毕克允 编
页数420
《微电子技术:信息化武器装备的精灵》在概述微电子技术〔含三代半导体及大规模集成电路〕的全貌和发展趋势之后,首先阐述了各类微电子基本器件技术,然后按半导体制造工程顺序分别叙述大规模集成电路从设计、工艺、,

[图书详细信息]

微电子技术原理、设计与应用

出版社:机械工业出版社
出版日期:2008-6
作者:惠特克
页数514
《微电子技术原理、设计与应用(原书第2版)》详细阐述了微电子技术的原理,并以技术原理与系统案例为线索,全面系统地介绍了特定微电子技术的各个要点和各种应用系统的设计与实现原理。同时,还分析了特定微电子技,

Tech,电子,电子技术

[图书详细信息]

新编实用集成电路选型手册

出版社:人民邮电出版社
出版日期:2008-5
作者:张伟,张瑾,等
页数829
《新编实用集成电路选型手册》是机电实用技术手册系列之一。全书共15章,收集了机电设计中常用的逻辑、运算、控制、驱动和功率模块等集成电路。《新编实用集成电路选型手册》取材新颖,图文并茂,内容丰富,叙述简,

[图书详细信息]

微机电系统力学

出版社:国防工业出版社
出版日期:2008-4
作者:高世桥,刘海鹏
页数249
《微机电系统力学》力图由浅入深,从物理基本力出发,按一定的章节次序,深入浅出地逐一介绍、阐述并讨论微机电系统中各种微观力的基本作用规律。微机电系统(MEMS)技术的发展突飞猛进,涵盖的领域日渐宽广,市,

[图书详细信息]

超大规模集成电路互连线分析与综合

出版社:清华大学出版社
出版日期:2008-4
作者:陈中宪
页数294
《国外经典教材·电子信息·超大规律集成电路互连线分析与综合》将对VLSI互连线的当前问题提供面向物理设计的全面论述。特别值得注意的一点是,《国外经典教材·电子信息·超大规律集成电路互连线分析与综合》同,

VLSI,互连线

[图书详细信息]

集成电路速查大全

出版社:西安电子科技大学出版社
出版日期:1997年10月
作者:尹雪飞
页数287
《集成电路速查大全》是由西安电子科技大学出版社出版的。,

a

[图书详细信息]

创新.实践-微电子应用型人才培养

出版社:电子工业出版社
出版日期:2012-12-01
作者:李可为 编
页数282
—微电子应用型人才培养,创新.实践-微电子应用型人才培养,ISBN:9787121185793,作者:李可为,

[图书详细信息]

面包板电子制作68例

出版社:化学工业出版社
出版日期:2012-6-1
作者:王晓鹏 编
页数292
《面包板电子制作68例》精选使用面包板插接完成的电子制作实验电路68例,包括分立元件的实验35例和数字集成电路的实验33例,每个实验都含有原理简介、原理图和装配图,并用“士”来表示制作难度。这些实验选,

电子技术,认识电子,电子制作,面包板,计算机,电子,签

[图书详细信息]

微电子封装组件的建模和仿真

出版社:化学工业出版社
出版日期:2012-1
作者:刘胜
页数564
制造可靠性与测试,随着电子封装的发展,电子封装已从传统的四个主要功能(电源系统、信号分布及传递、散热及机械保护)扩展为六个功能,即增加了DFX及系统测试两个新的功能。其中DFX是为“X”而设计,X包括:可制造性、可靠性,

[图书详细信息]

抗辐射集成电路概论

出版社:清华大学
出版日期:2011-4
作者:韩郑生
页数202
《抗辐射集成电路概论》论述抗辐射集成电路方面的知识。《抗辐射集成电路概论》共分10章,主要内容包括辐射环境、辐射效应、抗辐射双极集成电路设计、抗辐射mos集成电路设计、微处理器加固技术、存储器加固技术,

[图书详细信息]

纳米CMOS集成电路

出版社:电子工业
出版日期:2011-1
作者:维恩德里克
页数389
《纳米CMOS集成电路:从基本原理到专用芯片实现》基于作者维恩德里克长期在Philips和NXP Semiconductors公司讲授CMOS集成电路内部课程时出版的三部专著的内容,并参考当今工业界最,

[图书详细信息]

光电集成电路设计与器件建模

出版社:高等教育出版社
出版日期:2011-1
作者:高建军
页数262
《光电集成电路设计与器件建模(英文版)》主要介绍微波技术在光电子集成电路设计领域的应用,内容涵盖先进的半导体光电子器件建模技术、高速光发射和接收电路设计技术,器件涉及半导体激光器、半导体探测器以及多种,

[图书详细信息]

数字集成电路设计

出版社:人民邮电出版社
出版日期:2011-1-1
作者:凯斯林
页数660
《数字集成电路设计:从VLSI体系结构到CMOS制造》从架构和算法讲起,介绍了功能验证、VHDL建模、同步电路设计、异步数据获取、能耗与散热、信号完整性、物理设计、设计验证等必备技术,还讲解了VLSI,

电路,IC,计算机科学,经典,电子电路,核心必读!,数学,数字集成电路设计

[图书详细信息]

集成电路设计导论

出版社:清华大学
出版日期:2010-5
作者:罗萍//张为
页数325
《集成电路设计导论》是集成电路领域相关专业的一本人门性教材,主要介绍与集成电路设计相关的一些基础知识。全书共分10章,以集成电路设计为核心,全面介绍现代集成电路技术。内容主要包括半导体材料与器件物理、,

[图书详细信息]

集成电路设计实例

出版社:化学工业出版社
出版日期:2008-6
作者:姜岩峰 编
页数150
《集成电路设计实例》列举了三个实例,分别涵盖了双极型集成电路、CHOS数字集成电路、CNOS混合集成电路的设计,针对不同电路,介绍了不同的设计方法,每一个实例都给出了全流程的介绍,包括电路设计、仿真、,

[图书详细信息]

贴片数模转换器件集成电路速查手册

出版社:本书编写组、 《贴片数模转换器件集成电路速查手册》编写组 人民邮电出版社 (2008-06出版)
出版日期:2008-6
作者:本书编写组 著
《贴片数模转换器件集成电路速查手册》汇集了国外数家生产厂家生产的几千种数字模拟转换器(DAC)贴片元件的型号及有关参数。书中首先介绍了该手册的使用方法,然后以表格的形式重点介绍了器件型号、名称、参数、,

[图书详细信息]

超大规模集成电路

出版社:科学
出版日期:2007-11
作者:岩田 穆
页数309
《超大规模集成电路:基础•设计•制造工艺》共分为上下两篇,上篇为基础设计篇,主要介绍VLSI的特征及作用、VLSI的设计、逻辑电路、逻辑VLSI、半导体存储器、模拟VLSI、VLSI的设计法与构成法、,

[图书详细信息]

Protel DXP实训教程

出版社:电子工业出版社
出版日期:2007-5
作者:杨旭方 编
页数220
计算机辅助设计(电子类)考证已开展3年,仅广东省每年考生达2000人,但一直没有针对考证的参考书和辅导资料,因此,编写一本考证指导书是有必要的。本书针对计算机辅助设计中/高级考证要求,通过具体项目和简,

[图书详细信息]

DSP系统的VLSI设计

出版社:机械工业出版社
出版日期:2007-2
作者:李哲英
页数296
本书比较详细地讨论了数字信号处理算法专用集成电路设计方法,介绍了集成电路的基本设计概念、逻辑电路的设计方法、算法分析技术、信号流图(DFG)分析方法,以及与数字信号处理算法设计相关的A/D和D/A转换,

dsp

[图书详细信息]

系统集成

出版社:科学
出版日期:2007-1
作者:霍夫曼
页数490
《系统集成:从晶体管设计到大规模集成电路(影印版)》涉及集成电路组件的集成和设计的较宽范围的内容,提供给读者用简单公式估计晶体管几何尺寸和推演电路行为的方法。《系统集成:从晶体管设计到大规模集成电路(,

[图书详细信息]

运算放大器

出版社:清华大学出版社
出版日期:2006-10
作者:惠意欣
页数456
运算放大器(OpAmps,简称运放)被认为是神奇而万能的器件,在很多电子系统中发挥着举足轻重的作用。利用运放可以实现信号的放大、调理、滤波等多种功能,在个人数据助理、通信、汽车电子、音影产品、仪器仪表,

电路,IC,可借,微电子

[图书详细信息]

微系统封装技术概论

出版社:科学
出版日期:2006-3
作者:金玉丰
页数241
《微系统封装技术概论》以微电子封装和集成技术为重点,融合了MEMS封装技术、射频系统封装技术、光电子封装技术,介绍了微系统封装设计基础技术、厚薄膜精细加工技术、基板技术和互连技术、元器件级封装集成技术,

封装,电子

[图书详细信息]

数字集成电路与嵌入式内核系统的测试设计

出版社:机械工业出版社
出版日期:2006-5
作者:克拉茨
页数284
本书论述集成电路与嵌入式数字系统的测试技术,提出许多重要且关键的解决方案。针对目前在测试中遇到的实际问题,从技术和产品的投资成本上论述嵌入内核和SoC的测试问题。   本书适合作为高等院校相关专业本科,

IC,test

[图书详细信息]

低成本倒装芯片技术

出版社:化学工业出版社
出版日期:2006-4
作者:刘汉诚
页数458
本书涵盖了低成本倒装芯片从基本原理到发展前沿的整个范围。内容包括引线键合和焊料凸点两类芯片级互连技术、无铅焊料的物理和力学性质、高密度印刷电路板(PCB)和基板的微孔逐次增层(SBU)技术、使用常规和,

[图书详细信息]

集成电路掩模设计

出版社:】 清华大学出版社
出版日期:2006-1
作者:塞因特
页数435
基础版图技术,《集成电路掩模设计:基础版图技术》(翻译版)的译者曾在美国留学执教多年,后在清华大学微电子所任教,长期从事IC设计的研究和授课工作,作为国内IC设计领域的顶尖讲师,译笔流畅生动,既通俗易读,又保持原书,

微电子,IC,analog,版图,集成电路,layout,1,核心必读!

[图书详细信息]

常用集成电路实测数据手册

出版社:化学工业
出版日期:2005-3
作者:蒋颂军何晓帆
页数641
共收集、整理国内外厂家生产的1000多种常用集成电路的实测数据,这些集成电路主要应用于工业电器、计算机、音像电器、通信电器、家用电器、车用及专用电器等方面。   全书采用表格式编排、查找十分方便,具有,

[图书详细信息]

电路设计与制版

出版社:机械工业出版社
出版日期:2011-11
作者:闫霞 编
页数273
Protel DXP 2004,《电路设计与制版:ProtelDXP2004》是职业院校“工学结合、校企合作”课程改革成果系列教材之一,教材以学生的行动能力为出发点;结合机电类专业的就业岗位特点;以“够用、适用、兼顾学生的后续发展”,

[图书详细信息]

电子制作基础

出版社:西安电子科技大学出版社
出版日期:2010-12
作者:张建强,等 编
页数327
《电子制作基础》以电子设计制作流程为主线,从元器件的选用方法、常用仪器仪表及工具的使用、电路的计算机仿真、印刷电路板的设计与制作、电路的组装与调试等方面,通过以图代文的编写形式,让初学者从实践的角度掌,

[图书详细信息]

Protel DXP电路系统设计实训教程/计算机辅助设计实训丛书

出版社:学苑出版社
出版日期:2008-12
作者:张白雯
页数363
《计算机辅助设计实训丛书·Protel DXP电路系统设计实训教程》从实用角度出发,介绍了Protel DXP集成开发系统的操作和使用,详细讲解了电路原理图的设计、PCB印制电路板的设计、电路仿真和信,

[图书详细信息]

电子制作从想法到实现

出版社:福建科技出版社
出版日期:2009-5
作者:张晓东
页数172
《电子制作从想法到实现》的作者在实战篇中精心选择了自己设计的15个制作实例,一一动手实践,让读者“看”到了从原理构想到实物使用的完整的DM过程。为了让读者充分具备动手实践的能力,作者在基础篇中,在自己,

专业

[图书详细信息]

贴片数字集成电路速查手册

出版社:人民邮电出版社
出版日期:2008-11
作者:《贴片数字集成电路速查手册》主编组 编
页数915
《贴片数字集成电路速查手册》收集整理了国外多家厂商生产的几千种数字器件贴片集成电路的型号及有关参数。《贴片数字集成电路速查手册》中首先介绍了该手册的使用方法,然后以表格的形式重点介绍了各种数字器件的型,

[图书详细信息]

集成电路电子制作精制精讲

出版社:中国电力出版社
出版日期:2007-12
作者:阳鸿钧
页数163

[图书详细信息]

数字集成电子技术基础

出版社:华中科技大学出版社
出版日期:1997-4
作者:胡乾斌
页数286
本书系统地阐述了数制和编码、逻辑代数基础、集成门电路和触发器、组合逻辑电路和时序逻辑电路的分析和设计、可编程逻辑器件、脉冲的产生和整形、D/A和A/D转换器等内容。,

[图书详细信息]

  1    2  ... 下一页 共 2 页

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7