EDA技术与VHDL

出版时间:2009-9  出版社:清华大学出版社  作者:潘松,黄继业 编著  页数:390  
Tag标签:无  

前言

结合EDA技术许多最新的发展成果,以及我国高等教育更加注重学生的工程实践能力与自主创新能力的培养,本书作为第3版,进行了较大变动,删除了已过时的内容,加入了EDA技术的一些最新发展技术,还包括新版QuartusII9.0、DSPBuilder9.0、MATL,AB7.8的详细的、新的使用方法,Altera新近推出的CycloneIII系列FPGA的开发技术,以及相关的示例和实验,使全书在为学生提供更多新知识的同时给出大量新实验,更加注重学生创造力的培养。书中绝大部分章节都安排了针对性较强的习题、实验和设计项目,使学生对每一章的课堂教学效果都能及时通过实验得以强化。本书大部分实验中,除给出详细的实验目的、实验原理、实验思考题和实验报告要求外,还包含多个实验项目(层次)。如第一层次是与该章内容相关的验证性实验,本书提供了详细的并被验证的设计程序和实验方法,学生只需将书中提供的设计程序输入计算机,并按要求进行编译仿真,在实验系统上实现即可;第二层次是在上一实验基础上作进一步的发挥;此后的实验层次属于自主设计或创新性质的实验,包括一些大学生电子设计竞赛的设计项目。授课教师可以根据本课程的实验学时数和教学实验的要求,以及学生的兴趣程度,以不同的方式或形式布置给学生完成。本书第1章的内容是EDA和VHDL概述,第2章的内容是FPGA/CPLD硬件原理,第3章的内容主要是VHDL基础。

内容概要

本书系统地介绍了EDA技术幂FIVHDL硬件描述语言,将VHDL的基础知识、编程技巧和实用方法与实际工程开发技术在先进的EDA软件设计平台——Quartusll 9.0和硬件平台——Cyclone III FPGA上很好地结合起来,使读者能通过本书的学习迅速了解并掌握EDA技术的基本理论和工程开发实用技术,并为后续的深入学习和发展打下坚实的理论与实践基础。    依据高校课堂教学和实验操作的规律与要求,并以提高学生的实际工程设计能力和自主创新能力为目的,全书内容作了恰当的编排,共分6个部分:EDA技术的概述;FPGA/CPLD器件的结构原理;VHDL实用技术;Quartus及IP核的详细使用方法;基于VHD的16位OPU设计技术;基于MATLAB和DSP Bui Ider平台的详细JEDA设计技术和大量实用系统设计示例。除个别章节外,各章都安排了相应的习题和针对性强的实验和设计示例。书中列举的VHDL示例,都经编译通过或经硬件测试。        本书主要面向高等院校本、专科EDA技术和VHDL语言基础课,推荐作为电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科专业与相关的实验指导课的授课教材或主要参考书,同时也可作为电子设计竞赛、FPGA开发应用的自学参考书。    对于授课教师还能获赠本书cAl教学课件与实验指导课件,这部分内容可到清华大学出版社网站(WWW.tuptsi nghua.edu.on)下载。

书籍目录

第1章 概述 1.1 电子设计自动化技术及其发展 1.2 电子设计自动化应用对象 1.3  VHDL 1.4 EDA的优势 1.5 面向FPGA的开发流程  1.5.1 设计输入  1.5.2 综合  1.5.3 布线布局(适配)  1.5.4 仿真  1.5.5 下载和硬件测试  1.6 Quartus II概述  1.7 IP核  1.8 EDA技术的发展趋势第2章 PLD硬件特性与编程技术  2.1 PLD概述  2.1.1 PLD的发展历程  2.1.2 PLD的分类  2.2 低密度PLD可编程原理  2.2.1  电路符号表示  2.2.2 PROM  2.2.3  PLA  2.2.4 PAL  2.2.5  GAL 2.3 CPLD的结构与可编程原理 2.4 FPGA的结构与工作原理  2.4.1 查找表逻辑结构  2.4.2 Cyclone系列器件的结构与原理  2.5 硬件测试技术  2.5.1  内部逻辑测试  2.5.2 JTAG边界扫描测试 2.6 FPGA/CPLD产品概述  2.6.1 Lattice公司CPLD器件系列  2.6.2 Xilinx公司的FPGA和CPLD器件系列  2.6.3 Altera公司的FPGA和CPLD器件系列  2.6.4 Actel公司的FPGA器件  2.6.5 Altera公司的FPGA配置方式与配置器件  2.7 编程与配置  2.7.1 JTAG方式的在系统编程  2.7.2 使用PC并行口配置FPGA  2.7.3 FPGA配置器件第3章 VHDL基础  3.1 VHDL基本语法  3.1.1 组合电路描述  3.1.2  VHDL结构  3.2 时序电路描述  3.2.1 D触发器  3.2.2 时序描述VHDL规则  3.2.3  时序电路的不同表述方法  3.3 全加器的VHDL描述  3.3.1 半加器描述  3.3.2 CASE语句  3.3.3 例化语句  3.4 计数器设计  3.5 一般计数器的VHDL设计方法  3.5.1  相关语法  3.5.2 程序功能分析  3.5.3 移位寄存器设计  3.6 数据对象  3.6.1  常数  3.6.2 变量  3.6.3 信号  3.6.4 进程中的信号赋值与变量赋值  3.7 IF语句概述  3.8 进程语句归纳  3.8.1 进程语句格式  3.8.2 进程结构组成  3.8.3 进程要点  3.9 并行赋值语句概述  3.10 双向和三态电路信号赋值……第4章 QUARTUS II使用方法第5章 VHDL状态机第6章 16位CPU设计第7章 VHDL语句第8章 VHDL结构第9章 DSP Builder设计初步 第10章 DSP Builder设计深入附录A EDA实验系统简介参考文献

章节摘录

插图:第1章概述本章首先介绍EDA技术和硬件描述语言及其发展过程,然后介绍基于EDA技术和VHDL的设计流程,以及本书示例和实验推荐的主要EDA设计工具——Quartus II。1.1 电子设计自动化技术及其发展微电子技术的进步主要表现在大规模集成电路加工技术即半导体工艺技术的发展上,使得表征半导体工艺水平的线宽已经达到了60rim,并还在不断地缩小,而在硅片单位面积上,集成了更多的晶体管。集成电路设计正在不断地向超大规模、极低功耗和超高速的方向发展,专用集成电路ASIC(Application Specific Integrated Circuit) 的设计成本不断降低,在功能上,现代的集成电路已能够实现单片电子系统SOC(System On a Chip) 。现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation) 技术。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language) 为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。 EDA技术在硬件实现方面融合了大规模集成电路制造技术、Ic版图设计、ASIC测试和封装、FPGA(Field Programmable Gate Array) /CPLD(Complex Programmable LogicDevice) 编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计(CAD) 、计算机辅助制造(CAM) 、计算机辅助测试(CAT) 、计算机辅助工程(CAE) 技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及其高频的长线技术理论等。因此,EDA技术为现代电子理论和设计的表达与实现提供了可能性。正因为EDA技术丰富的内容以及与电子技术各学科领域的相关性,其发展的历程同大规模集成电路设计技术、计算机辅助工程、可编程逻辑器件,以及电子设计技术和工艺的发展是同步的。就过去近30年的电子技术的发展历程,可大致将EDA技术的发展分为以下3个阶段。

编辑推荐

《EDA技术与VHDL(第3版)》:高等学校电子科学与工程教材

图书封面

图书标签Tags

评论、评分、阅读与下载


    EDA技术与VHDL PDF格式下载


用户评论 (总计45条)

 
 

  •   学校的教材在当当买了,EDA技术与VHDL(第3版) EDA技术与VHDL
  •   EDA技术与VHDL(第3版)
  •   通信专业的书,讲VHDL的,还不错
  •   不错,经典的FPGA教材,例子也写的很好,找了好久才找到,值得
  •   本书很实用,适合入门级的学者,通俗易懂,方便学习,能使新手快熟的上手。
  •   整天来说这本说还不错,但Quartus2的使用写的不大好
  •   不错,是学校的教材,对学习编程有帮助
  •   快递及时,书印刷可以,内容正在学习中
  •   书纸质很好 读者有一种很惬意的感觉
  •   上选修课的书,老师推荐的
  •   书绝对是正版的,质量印刷都相当好!发货速度也很快!很好!
  •   正在学习中,希望物有所值哦
  •   不错,质量蛮好,物流也挺快的
  •   感觉要比第四版的排版好。。
  •   学校必须买的教材,价格跟学校订的差不多
  •   学校的教材在当当定了
  •   很好的书,值得买啊!!!!
  •   发货很快,晚上订单,第二天中午就到。书也不错,正版新书。
  •   书籍质量不错,发货送货速度快~~~
  •   同学让帮忙买的
  •   挺好的,没啥缺点
  •   感觉还行,呵呵
  •   是一本不错的EDA教材,适合从入门到提高,但实验部分的叙述还要写得再清楚一些。
  •   帮我的工程师买的书,先是在学校图书馆借来看了一个学期续借了俩月不得不还了,爱不释手遂决定在当当上买一本。收到后发现书的纸质很一般,封皮也比较薄,还不如我们学校图书馆那本质量好啊~ 不过对于真正要读这本书的人来说这些是并无大碍的,况且,在我刚买回来后的第一天就下了一天的大雨,而工程师同学把这本他心爱的书装书包里背了一整天,等晚上才发现爱书早已泡得变了形!于是便像唐僧晒经书一样一页一页地拿着吹风机吹干书页,心疼无比。。。=,=
    总之是本相当经典的好书,干这一行的自然会懂得它的意义,狠值得推荐哦~ O(∩_∩)O~
  •   内容不错,纸质也不错,挺好。
  •   大部分的书还可以 送货还挺快的
  •   书印刷相当清楚,就是纸质有点暗。
  •   老师的指定用书,还没细看,潘松老师的书也是很经典的
  •   书本质量还是不错的,送货速度还是很快,3天就到了。
  •   感觉就是一本拼凑的书,除了VHDL还可以外,其它的一般。
  •   中国作者编的书,很难有上心的。这书看来就像某个地方的党委书记念稿子,空话屁话一通,没有任何脉络,东拼西凑的四不像
  •   亚马逊的服务态度是很不错的,但是这本书很差。相关实验教材根本不开放给校园外读者和学生,我给清华大学出版社打电话问他们有没有此书的实验资料,他们居然说不是老师就不给,我问他们你们的书是不是开放给所有人的,他们说是的,但是除了老师能买到全本的书的内容别人只能买一半,太垃圾了!!!
  •   书本的纸张很好 很有学习价值
  •   书不错,就是我没用心看!
  •   这本书二手的话很新,感觉不错
  •   这是我们老师推荐的书。
  •   挺好的,质量很好,就是拿东西是现金找不开,又跑回来拿了银行卡
  •   发货速度还行,书是正版,总的说还行吧
  •   东西收到之后感觉很好
  •   物品符合预期,包装不是很好
  •   学校的教材,全新的,价格比预定要便宜,速度也很快,会更多关注的
  •   该作者写了几本同类型的书。基本都是自己抄自己。赚了不少稿费吧!
  •   我们要的教材,不错的一本书
  •   帮同学买的书,质量还不错
  •   还不错,这是我们的课本,还行的,,课本和学校的没有区别,喜欢
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7