FPGA/CPLD应用设计200例(下册)

出版时间:2009-1  出版社:北京航空航天大学出版社  作者:张洪润,张亚凡 主编  页数:661  
Tag标签:无  

前言

随着科学技术的不断发展,世界正面临一场规模宏大的新工业革命(又称信息革命)。特别是我国加入WTO(世界贸易组织)后,各行各业也都正经历着深刻的变革,此种形势下人们对信息资源的需求就显得尤其迫切。而在信息技术领域被誉为“电子技术的五官”的传感器技术和被称为“电子技术的脑”的计算机技术,又是信息采集和处理两个关键环节的基本技术,所以显得尤其重要。目前,电子技术、传感技术、计算机技术(包括单片机、计算机技术)已成为21世纪最常用、最基础、最实用的技术,而在我国信息技术领域,传感器和单片机应用技术担任了重要角色。从某种意义上来说,这也是衡量一个国家科学技术进步的一个基准。放眼现阶段信息技术类工具书市场,能满足广大科技人员迫切需要的工程技术类书籍相当缺乏,并且很多已有书籍也很难谈得上系统、全面与实用兼具,而这恰恰是广大科研与工程技术人员最迫切需要的。

内容概要

本书是《实用工程技术丛书》之一,是应广大科学研究人员、工程技术人员的迫切需求,参照国内外1000余项FPGA/CPLD应用设计成果,从实用角度出发编写的。其特点是所编内容新颖、齐全,分类规范,使用方便、快捷,是一本具有实用性、启发性、信息性的综合工具书。    全书分上、下两册。上册主要介绍FPGA/CPLD可编程控制器在网络通信、仪器仪表、工业控制、遥感遥测、汽车工业、航天军工及家用电器等领域的典型应用设计实例;下册主要介绍产品设计开发技巧、方法与秘诀,常用设计、开发工具及软件特性,常用芯片的结构特点等内容。全书共计典型应用设计实例287个。    本书适用于科学研究人员、工程技术人员、维护修理人员及大专院校师生。

书籍目录

第2篇 FPGA/CPLD产品设计、开发技巧与秘诀  2.1 如何根据项目选择器件  2.2 可编程器件的选择原则  2.3 确定初步方案的方法与技巧  2.4 基于可编程逻辑器件的数字系统的设计流程  2.5 掌握常用FPGA/CPLD  2.6 EDA技术的基本设计方法  2.7 数字系统设计中的低功耗设计方法  2.8 动态可编程重构技术  2.9 多级逻辑的设计技巧  2.10 Verilog HDL设计方法与技巧  2.11 FPGA设计的稳定性探讨  2.12 同步电路设计技巧  2.13 图形设计法的实用技术  2.14 状态机设计技巧  2.15 存储器的VHDL实现方法与技巧  2.16 存储器设计典型实例  2.17 只读存储器  2.18 比较器  2.19 多路选择器  2.20 三态总线  2.21 m序列的产生和性质  2.22 对具体某一信号的连续存储  2.23 典型的时序逻辑电路分析与描述  2.24 用Verilog HDL的时序逻辑电路设计  2.25 时序逻辑电路的设计方法与技巧  2.26 FPGA/CPLD的设计和优化  2.27 CPLD典型器件ispPAC20的扩展应用技巧  2.28 CPLD典型器件ispPAC的基本应用技巧  2.29 Verilog HDL设计组合逻辑电路技巧  2.30 VHDL设计组合逻辑电路技巧  2.31 LED七段译码器的分析与设计  2.32 电路的仿真技巧  2.33 宏器件及其调用  2.34 ispPAC的增益调整方法  2.35 数字系统的描述方法  2.36 FPGA系统设计与调试技巧  2.37 典型的下载/配置方式  2.38 Xilinx器件的下载  2.39 ByteBlaster并口下载电缆  2.40 单个FLEX系列器件的PS配置(下载电缆连接与下载操作)  2.41 多个FLEX器件的PS配置(下载电路连接与下载操作)  2.42 单个MAX器件的JTAG方式编程(POF文件连接与编程)  2.43 单个FLEX器件的JTAG方式配置(SOF文件连接与编程)  2.44 多个MAX/FLEX器件的JTAG方式编程/配置(连接与编程)  2.45 主动串行与被动串行配置模式  2.46 门禁系统设计技巧  2.47 两种实际应用的计数器电路设计  2.48 常用触发器及其应用设计技巧  2.49 加法器设计  2.50 ispPAC的接口电路设计  2.51 编程接口和编程——ISP方式和JTAG方式  2.52 利用Verilog HDL设计状态机的技巧  2.53 系统级层次式设计  2.54 边界扫描测试技术  2.55 在系统下载电缆与评估板  2.56 用CPLD和单片机设计电子系统  2.57 怎样优化程序  2.58 怎样才能避免潜在的危险  2.59 毛刺的产生及其消除技巧  2.60 计数器设计与FPGA资源  2.61 组合逻辑电路的竞争冒险及其消除技巧  2.62 选择器设计和FPGA资源  2.63 基于FPGA/CPLD应用设计的23点经验总结第3篇 FPGA/CPLD常用工具及软件特性  3.1 常用的FPGA开发工具  3.2 常用EDA设计工具  3.3 FPGA/CPLD数字逻辑实验平台  3.4 软件资源  3.5 典型常用的Verilog HDL语言(应用设计举例)  3.6 Verilog HDL的一般结构  3.7 19种常用电路的Verilog HDL描述  3.8 典型常用的VHDL语言(应用设计举例)  3.9 10种常用电路的VHDL描述第4篇 FPGA/CPLD常用芯片结构及特点  4.1 FPGA和CPLD的结构性能对照  4.2 FPGA/CPLD的基本结构和原理  4.3 Xilinx系列CPLD  4.4 Altera系列CPLD  4.5 现场可编程系统芯片FPSC  4.6 无限可重构可编程门阵列ispXPGA  4.7 ispXPLD器件  4.8 在系统可编程通用数字开关ispGDS和互连器件ispGDX/V  4.9 在系统可编程模拟器件的原理  4.10 各种在系统可编程模拟器件的结构  4.11 ispLSI系列器件的性能参数  4.12 ispLSI系列器件的主要技术特性  4.13 ispLSI系列器件的编程方法  4.14 成熟器件与新型器件  4.15 FPGA/CPLD器件的编程附录1 现场可编程逻辑器件主流产品一览附录2 各种器件的下载电路(在系统可编程ispJTAGTM芯片设计指导)附录3 Lattice系统宏(器件库)附录4 国内外常用二进制逻辑元件图形符号对照表附录5 世界著名的FPGA厂商及商标符号附录6 实验开发板电路原理图附录7 常用FPGA的端口资源附录8 两种CPLD实验仪器面板图及电路图附录9 CPLD主要器件引脚图附录10 缩略语词汇表参考文献

章节摘录

在开发产品的时候到底应该选择FPGA还是CPLD呢?下面对二者进行总结对比。①FPGA适合完成时序逻辑,CPLD适合完成各种算法和组合逻辑。换句话说.FPGA更适合于时序逻辑,而CPLD更适合于组合。②CPLD的时序延迟是均匀的和可预测的,而FPGA的布线结构决定丁其延迟的不可预测性。③在编程上,FPGA比CPLD具有更大的灵活性。CPLD通过修改具有固定内连电路的逻辑功能来编程,FPGA主要通过改变内部连线的布线来编程。④FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。⑤CPLD比FPGA使用起来更方便。CPI.D无需外部存储器芯片,使用简单;而FPGA的编程信息需存放在外部存储器上,使用方法复杂。⑥在编程方式上,CPLD编程次数可达数千次,系统断电时编程信息也不丢失;FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时.需从器件外部将编程数据重新写入SRAM中。

图书封面

图书标签Tags

评论、评分、阅读与下载


    FPGA/CPLD应用设计200例(下册) PDF格式下载


用户评论 (总计24条)

 
 

  •   FPGA/CPLD就好象一个潘多拉魔盒,变换出光怪陆离。掌握它,使世界更美好。
  •   买这本书的目的就是想通过具体的事例来学习FPGA的设计,我认为有参考价值
  •   很好,现在正在看
  •   书不错,里边例子挺好的
  •   替朋友买的,他说这是他想要的那种,书不错.
  •   买了好久才收到,物流不给力哦
  •   还不错,对于设计选型帮助蛮大的
  •   作为研究使用案例很有价值
  •   还可以,适合作为参看资料使用。
  •   内容多,但是讲解不细致
  •   刚收到,内容很丰富,待慢慢学习。
  •   还没看呢,应该好吧
  •   买来学习,希望有用。
  •   发货速度很给力。
  •   好事情,从例子开始。
  •   适合打好基础
  •   送货速度蛮快的,就是纸张不怎么的,另外书中有些是VHDL语言所写。
  •   内容丰富,初学者有些难入门。
  •   不推荐大家买,简单的程序罗列,无序。
  •   好东西,值得拥有,卓越品质值得信赖!
  •   买他的,我看不懂,纸质啥的,看着还好
  •   该书对搞FPGA的工程技术人员非常实用。
  •   纸张的手感不好但字体还算清晰还有股墨味呢
  •   我喜欢这一类实践与理论结合的书,上下本都非常喜欢
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7