EDA与集成电路工程设计

出版时间:2009-10  出版社:科学出版社  作者:韩威,徐火生,方湘艳 编著  页数:306  字数:490000  

前言

  本书内容包括EDA(电子设计自动化)技术,集成电路基础构成,集成电路(ASIC、FPGA等)的设计、验证、实现与测试,SOC平台,芯片电路的工程化开发与成功案例等。作者非常想借此机会,将多年来的工程经验与技术实践,写作成一本具有工程设计特色与技术风格方面的书,并通过工程开发的要求与特点、集成电路工程设计需掌握的工具/技术与方法,以及SOC与FPGA系统芯片工程开发流程的主线来诠释集成电路工程设计的核心要点。书中采用的设计验证技术均与目前业界正在使用的EDA工具密切相关,其所谓的工程特性可以通过以下的四个方面来体现。  (1)工程化设计的要求与特点描述。  (2)设计工具、设计方法、设计流程的具体化、经典化,建模语言、技术的要素化。  (3)工程设计中的技巧、经验与总结。  (4)系列工程化设计案例的介绍与分析,包括设计团队如何进行协作的多模块网表组合设计案例;大型算法中的多节拍流水线设计实现技术;将矢量坐标转换成平面直角坐标的解决方案;仅对更改部分进行重新综合的增量综合技术;FPGA的可测性设计实例;测试/验证现场的再现技术;以及多种设计、实现技巧等。  本书适用于电子科学与技术、电子信息工程等专业的高年级本科生及研究生在集成电路设计方面的教学与参考,并希望通过本书能扩展他们及青年电子设计师在集成电路设计中的工程认知与实际经验。书中在介绍各种设计、验证方法的同时,还穿插了许多应用这些方法的工程实例以及采用软件工具解决设计、验证中具体问题的结果图例,这些例子全部都经过了验证或是工程设计的结果。全书共分7章,由多个资深的工程设计人员共同完成。  第1章为综述。主要阐述了微电子产业的技术特点与发展趋势以及集成电路芯片设计从创意到产品实现的三个阶段。  第2章为EDA技术与电子工程产品开发。主要介绍了EDA技术的产生与发展过程、EDA工具所具有的能力与特点,以及如何在EDA工程中进行集成电路及电子产品开发等。该章是电子设计的背景知识介绍。  第3章为工程建模与硬件描述语言。主要介绍了集成电路设计的建模方法与手段、工程建模的要点与注意事项、硬件描述语言(Vetilog)的工程特性。其中,对Verilog语言的介绍与一些学者所著的针对语言语法结构描述、解析的书籍有点不同,主要还是想在Verilog语言的特性与要点、建模技术、工程模型设计的要素等方面进行重点叙述。文中也附有一些模型风格与逻辑综合后对应电路网表的分析例子。  第4章为集成电路与SOC设计。该章是本书的一个核心章节,主要描述了ASIC专用集成电路、模拟电路、数模混合电路、FPGA可编程阵列芯片的设计过程,工程SOC的设计方法与特点、SOC平台技术、IP核组合设计技术等,以及各种层次化设计方法、工程设计开发中的实用技巧与注意事项,并给出了大量的工程设计实例、图示与说明。

内容概要

本书内容包含EDA(电子设计自动化)技术,芯片电路基础,集成电路设计、验证、实现与测试,SOC平台,集成电路的工程开发经验与成功案例分析等,并自始至终以工程设计为主线,突出地叙述了集成电路工程开发中的遵循原则、基本方法、实用技术、经验技巧等,极具工程设计特色与技术风格。    本书既可作为电子科学与技术、电子信息工程等专业的本科生和研究生的教材或参考资料,也可作为青年电子设计师的专业技术培训指南。

书籍目录

第1章  综述  1.1  集成电路的发展趋势  1.2  集成电路产品创新的三个阶段第2章  EDA与电子工程产品的开发  2.1  EDA技术的产生与发展  2.2  EDA工程与集成电路设计  2.3  EDA工程中的可靠性设计方法与策略    2.3.1  仿真技术    2.3.2  面向工程目标的可靠性设计方法    2.3.3  电路模型的逻辑综合与优化    2.3.4  设计分析与验证  2.4  电子产品开发中的EDA技术第3章  工程建模与硬件描述语言  3.1  硬件描述语言基础    3.1.1  硬件描述语言    3.1.2  HDL模型及特点    3.1.3  HDL模型的层次化设计    3.1.4  HDL模型仿真  3.2  Verilog HDL与工程建模    3.2.1  Verilog模型与功能验证    3.2.2  Verilog HDL的基本要素与定义    3.2.3  Verilog的数据类型与逻辑描述    3.2.4  Verilog操作符    3.2.5  结构化建模    3.2.6  模型的延时    3.2.7  行为建模    3.2.8  存储器模型    3.2.9  Verilog中的高级层次化结构    3.2.10  可综合的Verilog模型风格  3.3  模型的验证    3.3.1  Verilog对模型验证的支持    3.3.2  test bench(测试验证程序)第4章  集成电路与SOC设计  4.1  集成电路的分类    4.1.1  全定制与半定制集成电路    4.1.2  可编程集成电路及FPGA  4.2  集成电路的设计技术与方法    4.2.1  集成电路设计技术的发展历程    4.2.2  集成电路的设计方法与策略  4.3  集成电路设计流程与开发工具    4.3.1  ASIC的设计    4.3.2  SOC的设计    4.3.3  FPGA的设计  4.4  集成电路设计的基本原则、策略与技巧    4.4.1  集成电路设计的关键要点及基本原则    4.4.2  提高设计运行速度、加快数据流处理的策略与技巧    4.4.3  电路运行稳定的策略与技巧  4.5  工程设计中的典型案例解析    4.5.1  大型算法的流水线设计解决方案    4.5.2  团队间远程协作的多模块网表组合设计案例    4.5.3  将矢量坐标转换成平面直角坐标的解决方案    4.5.4  仅对更改部分进行逻辑综合的增量综合技术    4.5.5  LFSR的设计第5章  设计验证与测试  5.1  芯片开发中的验证技术    5.1.1  验证的方法与策略    5.1.2  平台技术与验证环境    5.1.3  EDA的高级验证方法学与实用工具    5.1.4  SOC的一体化验证流程  5.2  芯片开发中的测试技术    5.2.1  SOC的测试技术与方法    5.2.2  测试激励生成技术    5.2.3  SOC芯片的可测性设计(DFT)技术    5.2.4  SOPC的可测性设计与调试    5.2.5  IP核的联机验证与测试评估第6章  双通道以太网MAC控制器的设计  6.1  以太网的帧格式  6.2  网络控制器芯片切换的原理  6.3  网络发送模块设计  6.4  接收模块设计  6.5  CRC校验设计  6.6  网络切换模块设计  6.7  时序仿真波形  6.8  设计经验总结第7章  密码安全芯片的设计  7.1  密码安全芯片的实现方法  7.2  AES算法原理  7.3  AES算法的设计实现    7.3.1  算法的整体设计    7.3.2  总体结构设计    7.3.3  各个子模块设计  7.4  设计经验总结参考文献附录  集成电路设计、验证、实现过程中的关键词

章节摘录

  EDA这些技术的运用使电子设计师在产品制作前就能预知产品的功能与性能,了解其中的故障和问题,控制制造过程、生成后续生产制造所必备的文件。80年代后期,EDA的上述技术以及综合与优化、设计验证技术等又得到了补充和完善。所有这些在70年代不可能涉及技术的出现大大地提升了工程师们的设计能力,刺激了相关产业的飞速发展,同时也极大地提高了电子产品的可靠性。  然而,EDA技术的发展虽然使得电子产品的研制方法和手段跨上了新台阶,但面对20世纪90年代信息世界的“设计要一次性完成;电子系统集成度更高、更精巧;电子产品的功能更强、速度更高、电路更复杂;开发周期必须缩短以增加市场竞争力”的强烈需求,第二代EDA系统已逐渐地显现出以下几个方面的不足。  第一,第二代EDA系统的电子产品开发是原理图输入、功能仿真、PCB设计(或芯片的布局/布线)、性能分析、测试验证等这样一个串行的设计过程,在这个过程中,后级的设计完全依赖前级的输出数据,任何一个环节出现了问题都必须从头再来。此外,在这种设计方式下电路中隐藏的故障不能实时显现出来,EDA系统也缺乏统一的协调管理工具和界面以完成故障的实时修补等。  第二,设计工具对于复杂的大型电子系统必须具备从顶层开始规化、按层分解、由上至下逐级地进行设计的方法和手段,并且设计工具应具备系统级的仿真与综合、优化技术和系统级的测试、分析技术,对外有着良好的标准化接口,这些却都是第二代EDA所缺少的。  第三,随着芯片技术的发展,速度更高、容量更大的芯片已逐渐问世,这必然会使得芯片设计的专业性要求会更强;而另一方面将大系统微缩到芯片中必然会使得电路更加复杂,因此,对多功能芯片和单芯片系统的设计任务肯定会落在电路工程师身上而不是由熟悉半导体技术但对系统和周边电路不太了解的厂商和工艺设计师来完成。所以,EDA系统必须具备完全与工艺方法脱离、支持逻辑语言环境的芯片设计技术。  正是由于上述三点需求,90年代出现了以高级语言描述电路、系统级仿真、行为级综合、支持自上而下分层设计思想并建立在并行设计环境框架之上的第三代EDA工具,即ESDA工具。  回首EDA技术的发展历程,可以看出:EDA技术是在人们不断认知、不断求新的基础上发展和完善起来的,它的三阶段发展特征实际上也充分展现了人类社会与现代科技向更精、更高、更快的需求目标竞相发展与前进的缩影。  2.2 EDA工程与集成电路设计  从方法论的角度看,EDA是一项专门解决电子产品开发中复杂问题的方法学,其涉及的学科包括电子、计算数学、仿真、设计方法学、人工智能、专家知识库、电磁学、微电子工艺、体系结构、计算机应用技术等。

图书封面

评论、评分、阅读与下载


    EDA与集成电路工程设计 PDF格式下载


用户评论 (总计0条)

 
 

 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7