EDA技术及其创新实践

出版时间:2012-6  出版社:电子工业出版社  作者:黄继业,潘松 编著  页数:240  字数:420000  

前言

  “寓教于乐”可谓初级教育的上乘境界。而在高等教育中,尤其是工科类高等教育,如若能将培养学生的自主创新能力寓于知识传授之中,应是“寓教于乐”的升华,是高等教育的上乘境界,是培养卓越工程师的捷径,此可谓“寓悟于道”,即悟道寓于布道。此概因创新型人才的培养已成当今教育的热点话题和重大命题。创新型人才远比知识型人才更能激起社会的渴求,显然,前者之“才”乃贤才、将才、帅才之才,自古求之者众;而后者之“才”,实乃“材”耳,皆木材石材钢材之材,多之不滥,少之不缺。  在高校的知识传授中,能将培养自主创新能力寓于其中,有三个问题需要解决:什么是自主创新能力?什么样的知识体系最适合培养自主创新能力?如何来做?  在工程领域,创新与自主创新是有巨大区别的。前者指能体现价值、知识和智慧的有别于他人的作品或成就;后者则在前者定义的基础上增加更大的,乃至排他的全部社会或经济价值的拥有性。比如某财务软件或股票软件,不能否认是作者的创新成果并拥有其知识产权。然而我们永远不可能听说某软件拥有完全的自主知识产权,这是因为这套软件的功能实现必须在别人的计算机系统上完成,而在销售此软件的同时也附带帮助别人在卖计算机。  然而大到高铁技术,银河大型机,ARM处理器,小到一片电饭煲的控制芯片,其作者就能自豪地声称他们拥有完全的自主知识产权,这是因为设计者的智慧和创造已经渗透于包括软件和硬件的全部设计和整个结构中,他们拥有了全部的自主权及排他的利益权;而这又反过来成就了这些创造者,他们正是所谓的自主创新型人才。显然,拥有自主创新能力的人才永远是一个企业的生存之本。推而广之,国家的可持续发展有赖于大量的自主创新型人才,而创新型国家也必须由他们来支撑。  具体到信息工程教学领域,不难发现不是任何一门课程都适合于“寓悟于道”的。那些被一两项定律圈定的课程(如牛顿定律之于力学,麦克斯韦方程之于电磁学)、结论先于探索的课程(如证明题之于数学)、软件设计远胜硬件设计的课程(如C、DSP、微机原理等),虽涉创新却难企“自主”的课程(如单片机、嵌入式系统等),都难以同步孕育创造精神。显然能兼而有之的“寓悟于道”的课程非EDA莫属。正是EDA技术,将无序化作标准,手工跃为自动,突破软硬件设计的屏障,使软件语言与硬件语言相得益彰,令时序仿真与系统实现互为印证,更兼溶多分支学科课程于一炉。  不可否认,在充满自主创新精神的EDA技术教学领域,从来就不相信什么习题集,也永远没有标准答案,它提倡个性、鼓励想象、适应变革、崇尚实践!  将培养学生的自主创新能力寓于EDA技术教学之中便是本教材的唯一定位。  正如本书内容简介中所言,本教材的教学目标有二,特色有一:使读者能掌握EDA技术基础理论及其工程实践基本技能,同时基于EDA的平台及本书给出的大量实践项目促进自主创新能力的有效提高;而特色是速成。为了实现这些目标,全书各章的精心编排最能体现作者的用心良苦:  第1章主要以导论的方式从面上介绍了EDA技术的总体概况,其中还包括EDA软件的功能结构以及各类硬件平台的特点,且较详细介绍了大规模PLD的结构和原理。全景式俯瞰和细节性端详相结合,为读者快速切入正题做了必要的铺垫。  第2、第4和第6章的主干内容分别是基于Verilog的组合电路设计、时序电路设计,和Verilog设计深入及优化技术。此三章的展开有明显的节奏性:由浅入深,由点及面,由语言表述方法延伸至设计技巧和优化技术。特别是在讲授方法上采用了以经典电路模块为先导的所谓情景式教学模型。即脱离了传统的HDL教学模式,而以读者十分熟悉的电路模块(如半加器等),引出Verilog的表述形式和方法,进而解释相关的语句语法。以此层层渐进,却步步为营。以大多数读者自然与习惯的思维方式,徐徐道来。  经验表明,面对这貌似繁杂的内容,却能使教学二者倍感轻松,这自然使得课堂的课时数大为缩减,速成遂见平常之事。每章的末尾还精心配制了大量习题。  为了加快学习节奏,提高学习效率,巩固学习成果,使读者有机会不断地将前一章的知识及时有效地融入实验验证、技能提高和经验积累,特别是将自主创新能力的培育有机地融入理论学习与工程实践之中,作者在第3、第5和第7章(也包括第4章的后两节)中分别穿插了EDA软件应用、逻辑仿真、FPGA硬件验证及开发、LPM宏模块应用,以及与工程实践更靠拢的状态机设计实用技术等内容。  例如在第3章中,以第2章中出现的某个经典实例对应的硬件验证为目标,详细介绍了QuartusII的使用方法、仿真技术、FPGA硬件验证流程以及电路原理图编辑与设计方法,使得读者有能力从硬件验证与开发的最贴近工程实际的角度回过头去重新审视刚学过的第2章的全部内容。同时在此章的末尾安排了多个有较强针对性的实验。  第5章主要介绍了EDA技术中最实用的内容之一,即宏功能模块的使用技术。期间同样穿插了极有针对性的以情景方式给出的Verilog语句语法的说明,以及基于特定EDA设计平台的各类实用的属性使用知识。其实这种直接面对工程技术的属性使用知识一直贯穿于全书的各相关章节,这些内容的介绍在其他同类教材中并不多见。  第5章的末尾同样安排了许多实验和创新实践项目。事实上,从第3章至第9章,每一章后都安排了大量的实验以及与工程实际紧密联系的创新实践项目。绝大多数情况中,每一个实验或项目除给出其详细的实验目的、实验原理和实验报告要求外,都含有2至5个或更多的实验任务子项目。它们通常分为:第一层次的任务是与该章节或以前章节中某具体内容相关的验证性实验,并通常提供详细的被验证的设计源程序、实验方法或演示实例。对此,学生只需将提供的设计程序输入计算机,并按要求进行编译仿真,在实验系统上实现即可。这使学生有一个初步的感性认识,也同步提高了实验的效率;第二层次的任务是要求在上一实验基础上做一些改进和发挥;第三个层次的实验通常是提出自主设计的要求和任务;第四、第五个层次(或后期的一些实践项目)则在给出一些提示的情况下,提出自主创新性设计的要求,或大致的方向,以及要求查阅相关资料。因此,授课教师可以根据学时数、教学实验的要求,以及不同的学习对象,布置不同层次含不同任务的实验项目。  第7章从实际电路系统的控制和工程实践的角度详细阐述了基于Verilog的有限状态机设计技术,包括以不同角度分类的状态机的设计方法、状态机的编码方式、性能比较、毛刺处理、容错技术探讨、属性设置等。毫无疑问,就其内容的完整性,实用性和能动创新的启发性而言,本教材可谓独树一帜。特别是末尾精心编排的大量的实践项目和多层次的实验任务实是希望读者能浅心躬为,而后融会贯通。  在前期知识铺垫的基础上,第8章适时给出的16位实用CPU设计技术及CPU创新设计实践项目,将使读者在基础理论水平、工程实践和自主创新能力等方面有较大的提高;这些内容,同类教材极少涉及,但其却在工程设计,大学生电子设计竞赛,产品开发上极为重要和常用。由于更具综合性和更为实用,又富于创新精神的激发,该章末尾安排了对应内容的CPU创新设计竞赛项目。  第9章是Verilog语法补充。但也在本章末尾安排了数量不少的实验设计项目。相比于之前的实验,此章的项目综合性更强,更加贴近工程实际,所以需要更加全面的EDA技术知识、更丰富的实践经验和更宽的电子工程知识面。它们既是对前期知识与实践的总结,也蕴含了更多的创新要求。这一切显然对学习者有了更多的挑战。  对于本教材涉及的硬件描述语言是VerilogHDL(另有本出版社出版的同名同篇幅的VHDL版本的姐妹篇教材)。VerilogHDL具有易学易用和享有ASIC设计领域的主导地位等诸多优势,在全球范围内其用户覆盖率一直处于领先和上升趋势;统计资料表明VerilogHDL现已超过80%的行业覆盖率!在美国和日本的比率则更高,已占绝对优势。由此势必导致我国VerilogHDL工程师和相关就业领域人才需求的不断增加。  本教材的教学仅就授课的课时数而言是十分灵活的,可长可短,视具体的专业特点、课程定位及学习者的前期教育力度等因素而定,大致在10~30学时之间选择。由于本书的特色和定位,加之EDA技术课程的特质,具体教学可以是粗放型的,其中多数内容,包括实践项目都可直接放手于学生。更多地让他们自己去查阅资料、提出问题、解决问题,乃至创新与创造;而授课教师,甚至实验教师只需做一个启蒙者、引导者、鼓励者和学生成果的检验者和评判者。授课的过程多数只需点到为止,大可不必拘泥细节,面面俱到。但有一个原则,即实验学时数应多多益善。然而事实上,任何一门课程的学时数总是有限的,为了有效倍增学生的实践和自主设计的时间,倒是可以借鉴清华大学的一项教改措施,即其电子系本科生从一入学就人手获得一块AlteraFPGA实验开发板,可从本科一年级一直用到研究生毕业。这是因为EDA技术本身就是一个可把全部实验和设计带回家的课程。我校对于这门课也基本采用了这一措施:每个上EDA课的同学都可借出一套EDA实验板,使他们能利用自己的计算机在课余时间完成自主设计项目,强化学习效果。实践表明,这种安排使得实验课时得到有效延长,教学成效自然明显。  还有一个问题有必要在此探讨,就是在前面曾提到的,本教材的定位之说。事实上,自主创新能力的提高不可能一蹴而就,其能力的培养更是一个重大命题,绝非一朝一夕之事。多年的教学实践告诉我们,针对这一命题的教改必须从两方面入手,一是教学内容,二是设课时间;二者互为联系,不可偏废。  前者主要指建立一个内在相关性好、设课时间灵活,且易于“寓悟于道”的课程体系。然后在具体的教学实践中自觉地将自主创新能力的培养溶入这一课程体系之中。  后者主要指在课程安排的时段上,将这一体系的课程尽可能地提前。这一举措是成功的关键,因为我们不可能想象到了本科三、四年级才去关注能力的培养并期待奇迹发生,更不可能指望一两门课程就能解决问题。尤其是以卓越工程师为培养目标的工科高等教育,自主创新能

内容概要

  《普通高等教育“十二五”规划教材·工程创新型电子信息类精品教材:EDA技术及其创新实践(Verilog
HDL版)》的教学目标有二,特色有一:掌握EDA技术基础理论及其工程实践基本技能、基于EDA的平台及《普通高等教育“十二五”规划教材·工程创新型电子信息类精品教材:EDA技术及其创新实践(Verilog
HDL版)》给出的大量实践项目促进自主创新能力的有效提高;特色是速成。为此,全书做了精心的编排,在第1章中就将EDA技术的总体概况和盘托出,甚至包括EDA技术相关的软硬件基本特点、结构和原理;在第2、第4和第6章中分别介绍了基于Verilog的组合电路设计、时序电路设计,和HDL设计技巧与优化技术的深入;所用的教学方法都是基于流行于国际的以电路模块为先导的情景式教学模型。为能快速进入实践操练阶段,在第3、第5和第7章中分别穿插了EDA软件应用、逻辑仿真、FPGA硬件验证及开发、LPM宏模块应用,以及与工程实践更靠拢的状态机设计技术等内容;使得从第3章开始,读者就有机会不断地将书本知识及时有效地融入实验验证、技能提高和经验积累。在前期知识铺垫的基础上,第8章给出的16位实用CPU设计技术及CPU创新设计竞赛实践项目,将使读者在基础理论水平,工程实践和自主创新能力等方面有较大的提高;第9章是Verilog语法补充。从第3章至第9章,每一章后都安排了大量的习题、实验以及与工程实际紧密联系的创新实践项目。全书内容新颖,结构紧凑、特色鲜明,成效也一定会突出。

书籍目录

第1章 EDA技术概述
1.1 EDA技术
1.2 EDA技术应用对象
1.3 硬件描述语言Verilog HDL
1.4 EDA技术的优势
1.5 面向FPGA的EDA开发流程
1.6 可编程逻辑器件
1.6.1 PLD的分类
1.6.2 PROM可编程原理
1.6.3 GAL
1.7 CPLD的结构与可编程原理
1.8 FPGA的结构与工作原理
1.8.1 查找表逻辑结构
1.8.2 Cyclone Ⅲ 系列器件的结构原理
1.9 硬件测试技术
1.10 FPGA/CPLD产品概述
1.10.1 Lattice公司的PLD器件
1.10.2 Xilinx公司的PLD器件
1.10.3 Altera公司的PLD器件
1.11 编程与配置
1.12 Quartus Ⅱ
1.13 EDA的发展趋势
思考题
第2章 组合电路Verilog设计
2.1 半加器电路的Verilog描述
2.1.1 半加器的数据流建模描述方式
2.1.2 半加器的门级原语和UDP结构建模描述方式
2.2 多路选择器不同形式的Verilog描述
2.2.1 4选1多路选择器及其顺序语句表述方式
2.2.2 4选1多路选择器及其并行语句表述方式
2.2.3 4选1多路选择器及其条件操作语句表述方式
2.2.4 4选1多路选择器及其条件语句表述方式
2.2.5 4选1多路选择器及其利用UDP元件的结构表述方式
2.3 Verilog加法器设计
2.3.1 全加器设计及例化语句应用
2.3.2 8位加法器设计及算术操作符应用
2.3.3 BCD码加法器设计
2.4 组合逻辑乘法器设计
2.4.1 参数定义关键词parameter和localparam
2.4.2 整数型寄存器类型定义
2.4.3 for语句用法
2.4.4 移位操作符应用法
2.4.5 两则乘法器设计示例
2.4.6 repeat语句用法
2.4.7 while语句用法
2.4.8 Verilog循环语句的特点
2.4.9 parameter的参数传递功能
习题
第3章 Quartus Ⅱ应用向导
3.1 Quartus Ⅱ应用一般流程
3.1.1 输入设计程序
3.1.2 创建本项目设计工程
3.1.3 设置约束项目
3.1.4 全程编译与逻辑综合
3.1.5 测试设计项目
3.1.6 RTL图观察器应用
3.2 硬件功能验证及FPGA开发
3.2.1 引脚锁定
3.2.2 编译文件下载
3.2.3 JTAG间接编程模式
3.2.4 USB-Blaster编程配置器件使用方法
3.3 电路原理图设计流程
3.4 利用属性表述实现引脚锁定
3.5 keep属性应用
3.6 SignalProbe使用方法
3.7 宏模块逻辑功能查询
习题
EDA实验
3-1 多路选择器设计实验
3-2 8位加法器设计实验
3-3 十六进制7段数码显示译码器设计
第4章 时序电路Verilog设计
4.1 基本时序元件的Verilog表述
4.1.1 基本D触发器单元及其Verilog表述
4.1.2 用UDP表述D触发器
4.1.3 含异步复位和时钟使能的D触发器及其Verilog表述
4.1.4 含同步复位控制逻辑的D触发器及其Verilog表述
4.1.5 基本锁存器及其Verilog表述
4.1.6 含清0控制的锁存器及其Verilog表述
4.1.7 异步时序电路的Verilog表述特点
4.1.8 时钟过程表述的特点和规律
4.2 二进制计数器及其Verilog表述
4.2.1 简单加法计数器及其Verilog表述
4.2.2 实用加法计数器设计
4.3 移位寄存器的Verilog表述与设计
4.3.1 含同步预置功能的移位寄存器设计
4.3.2 模式可控的移位寄存器设计
4.3.3 使用移位操作符设计移位寄存器
4.4 时序电路硬件设计与仿真示例
4.5 SignalTap Ⅱ的使用方法
习题
EDA实验
4-1 数字计数器设计实验
4-2 十六进制7段数码显示译码器设计
4-3 数码扫描显示电路设计
4-4 模可控计数器设计
4-5 移位寄存器设计
4-6 串行静态显示控制电路设计
4-7 应用宏模块设计频率计
第5章 逻辑宏功能模块的应用
5.1 计数器宏模块调用
5.1.1 计数器模块文本的调用
5.1.2 计数器模块程序与参数传递语句
5.1.3 对计数器进行仿真测试
5.2 利用属性设置控制乘法器的构建
5.3 RAM宏模块的使用方法
5.3.1 存储器初始化文件
5.3.2 RAM宏模块的设置和调用
5.3.3 仿真测试RAM宏模块
5.3.4 存储器的Verilog代码描述及初始化文件调用
5.3.5 存储器设计的结构控制
5.4 LPM存储器在系统读写方法
5.5 嵌入式锁相环使用方法
5.6 信号在系统测试与控制编辑器用法
习题
EDA实验与创新实践
5-1 查表式硬件运算器设计
5-2 正弦信号发生器设计
5-3 DDS正弦信号发生器设计
5-4 移相信号发生器设计
第6章 EDA技术深入
6.1 过程中的两类赋值语句
6.1.1 未指定延时的阻塞式赋值语句
6.1.2 指定了延时的阻塞式赋值
6.1.3 未指定延时的非阻塞式赋值
6.1.4 指定了延时的非阻塞式赋值
6.1.5 阻塞与非阻塞式赋值特点的深入讨论
6.1.6 不同赋值方式的信号赋初值导致不同综合结果
6.2 过程语句使用深入探讨
6.2.1 过程语句应用总结
6.2.2 深入认识不完整条件语句与时序电路的关系
6.3 更完整地认识if语句
6.3.1 if语句的一般表述形式
6.3.2 关注if语句中的条件指示
6.4 三态与双向端口设计
6.4.1 三态控制电路设计
6.4.2 双向端口设计
6.4.3 三态总线控制电路设计
6.5 系统设计优化
6.5.1 资源优化
6.5.2 速度优化
习题
EDA实验与创新实践
6-1 硬件消抖动电路设计
6-2 4×4阵列键盘键信号检测电路设计
6-3 直流电机综合测控系统设计
6-4 VGA彩条信号显示控制电路设计
第7章 有限状态机设计技术
7.1 状态机的一般形式
7.1.1 状态机的基本结构
7.1.2 初始控制与表述
7.2 Moore型有限状态机
7.2.1 实用状态机设计示例
7.2.2 序列检测状态机设计
7.3 Mealy型状态机设计
7.4 不同编码类型状态机
7.4.1 直接输出型编码
7.4.2 宏定义语句在状态编码定义中的用法
7.4.3 宏定义命令语句
7.4.4 顺序编码型状态机编码
7.4.5 一位热码编码
7.4.6 状态编码设置
7.5 安全状态机设计
习题
EDA实验与创新实践
7-1 序列检测器设计
7-2 ADC采样控制电路设计
7-3 数据采集逻辑控制模块设计
7-4 五功能智能逻辑笔设计
7-5 VGA简单图像显示控制模块设计
第8章 16位实用CPU创新设计
8.1 KX9016的结构与特色
8.2 KX9016基本硬件系统设计
8.2.1 单步节拍发生模块
8.2.2 算术逻辑单元
8.2.3 比较器COMP
8.2.4 基本寄存器与寄存器阵列组
8.2.5 移位器
8.2.6 程序与数据存储器
8.3 KX9016v1指令系统设计
8.3.1 指令格式
8.3.2 指令操作码
8.3.3 软件设计实例
8.3.4 KX9016 v1控制器设计
8.3.5 指令设计实例详解
8.4 KX9016的时序仿真与硬件测试
8.4.1 时序仿真与指令执行波形分析
8.4.2 CPU工作情况的硬件测试
8.5 KX9016应用程序设计实例和系统优化
8.5.1 乘法算法及其硬件实现
8.5.2 除法算法及其硬件实现
8.5.3 KX9016v1的硬件系统优化
习题
EDA

编辑推荐

  《普通高等教育“十二五”规划教材·工程创新型电子信息类精品教材:EDA技术及其创新实践(Verilog HDL版)》可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计、EDA技术和VerilogHDL硬件描述语言课程的教材及实验指导书,同时也可作为相关专业技术人员的自学参考书。《普通高等教育“十二五”规划教材·工程创新型电子信息类精品教材:EDA技术及其创新实践(Verilog HDL版)》提供免费课堂教学与实验课件以及硬件演示程序。

图书封面

评论、评分、阅读与下载


    EDA技术及其创新实践 PDF格式下载


用户评论 (总计2条)

 
 

  •   还不错,条理清晰,结构层次分明,就是有些地方讲解不够详细。
  •   还没看完,写的挺好的,就是例题比较少
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7