CPLD/FPGA嵌入式应用开发技术白金手册

出版时间:2005-10  出版社:中国电力出版社  作者:廖日坤  页数:316  
Tag标签:无  

内容概要

本书从实用的角度出发,全面系统地介绍了使用集成开发软件进行CPLD/FPGA电路原理图设计、VHDL设计等操作方法和技巧。书中不但附有大量的图示和程序,而且还专门安排了习题和设计实例,具有很强的实用性和指导性。    本书语言简洁、层次清晰,适合于CPLD/FPGA的初级用户以及CPLD/FPGA硬件系统的设计人员使用,同时也可作为高等院校相关专业的教材。

书籍目录

丛书序前 言第1章 CPLD/FPGA硬件概述   1.1 CPLD/FPGA概述     1.1.1 CPLD/FPGA的特点     1.1.2 CPLD/FPGA的发展方向     1.1.3 CPLD/FPGA的应用领域   1.2 EDA与ASIC技术   1.3 SOPC和IP核技术   1.4 CPLD/FPGA系统设计与开发编程原理     1.4.1 CPLD/FPGA系统设计流程     1.4.2 CPLD/FPGA硬件设计与开发原理     1.4.3 CPLD/FPGA软件设计与开发原理   1.5 本章小结   1.6 习题 第2章 CPLD/FPGA硬件体系结构   2.1 可编程逻辑器件PLD     2.1.1 可编程只读存储器(PROM)     2.1.2 紫外线可擦除只读存储器(EPROM)     2.1.3 电可擦除只读存储器(E2PROM)     2.1.4 可编程阵列逻辑(PAL)   2.2 通用阵列逻辑(GAL)   2.3 CPLD体系结构     2.3.1 CPLD的基本结构     2.3.2 CPLD的结构特点     2.3.3 CPLD编程工艺   2.4 FPGA体系结构     2.4.1 FPGA基本结构     2.4.2 FPGA的结构特点     2.4.3 FPGA的编程工艺   2.5 开发CPLD/FPGA的选用   2.6 本章小结   2.7 习题 第3章 VHDL编程基础   3.1 VHDL概述和语言特性   3.2 VHDL语言的基本结构     3.2.1 VHDL程序的基本构成     3.2.2 实体     3.2.3 构造体     3.2.4 库、包集合、配置   3.3 VHDL语法规则     3.3.1 VHDL的标识符     3.3.2 VHDL的数据对象     3.3.3 VHDL的数据类型     3.3.4 VHDL的运算操作符   3.4 VHDL中的顺序语句     3.4.1 条件语句     3.4.2 选择语句     3.4.3 循环、等待语句     3.4.4 FUNCTION语句   3.5 VHDL中的并行语句     3.5.1 进程语句、信号代入语句     3.5.2 并发过程调用语句     3.5.3 块语句     3.5.4 元件例化语句(Component instantiation)   3.6 VHDL编程实例——三选一选择器   3.7 本章小结   3.8 习题   3.9 上机练习 第4章 VHDL设计进阶   4.1 VHDL语言的描述方式   4.2 常用电路的VHDL描述     4.2.1 组合逻辑电路     4.2.2 时序逻辑电路     4.2.3 存储器   4.3 VHDL设计实例——状态机   4.4 本章小结   4.5 习题   4.6 上机练习 第5章 CPLD/FPGA集成开发工具 第6章 CPLD/FPGA硬件开发工具 第7章 CPLD/FPGA优化设计 第8章 CPLD/FPGA硬件开发平台 第9章 CPLD/FPGA外围硬件接口设计 第10章 CPLD/FPGA简易系统设计 第11章 CPLD/FPGA应用系统设计 附录A CPLD/FPGA常用系列芯片介绍附录B 术语汇编 附录C 部分思考练习题答案 参考文献

图书封面

图书标签Tags

评论、评分、阅读与下载


    CPLD/FPGA嵌入式应用开发技术白金手册 PDF格式下载


用户评论 (总计1条)

 
 

  •   这本书比较不错,比较实用,初学者可以看看,不过要想提高还得看更多的书.当当网的书不错,便宜还能够开发票,建议大家购买.呵呵呵呵合乎呵呵合乎呵呵后呵呵合乎呵呵后黑糊糊呵呵合乎呵呵后黑糊糊呵呵合乎呵呵后黑糊糊呵呵合乎呵呵后黑糊糊呵呵合乎呵呵后黑糊糊呵呵合乎呵呵后黑糊糊呵呵合乎呵呵后黑糊糊呵呵合乎呵呵后黑糊糊呵呵合乎呵呵后黑糊糊
 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7