电子设计自动化

出版时间:2008-5  出版社:中国电力出版社  作者:张永生 编  页数:277  字数:404000  

内容概要

本书通过实例和理论结合的形式,主要介绍了电子设计自动化(EDA)技术的Mulfisim 9、Protel DXP 2004和MAX+plus Ⅱ等3个主流软件。全书共分为10章,分3个部分进行介绍,电路仿真设计部分使用Multisim 9软件包,主要介绍电路的连接、虚拟仪器的使用以及部分高级电路的分析方法;PCB设计部分使用Protel DXP 2004软件包,主要介绍原理图的绘制、PCB基础知识、PCB设计与输出以及元件库的设计等,并详细介绍了电路从原理图的设计到PCB输出的整个过程;PLD设计部分使用ALTERA公司开发的:MAX+plus Ⅱ软件,主要介绍了VHDL硬件描述语言设计输入方法、原理图逻辑设计输入方法和波形设计输入方法,并详细介绍了硬件描述语言逻辑综合设计过程。此外,大部分章节均安排有实训,在书后还提供了职业技能鉴定考证方面的相关内容。    全书内容翔实、层次分明、步骤详尽、实例丰富、图文并茂、通俗易懂,既可作为高职院校电子信息类专业的教材,也可供从事电路设计的人员和电路制作爱好者参考。

书籍目录

前言第一章  绪论  第一节  电子设计自动化(EDA)技术概述  第二节  常用电子设计自动化软件简介  第三节  本书主要软件的安装  小结  习题第二章  Multisim 9仿真电路的绘制  第一节  Multisim 9系统基本界面介绍  第二节  Multisim 9仿真电路的绘制  第三节  Multisim 9仿真电路图的打印输出与元件创建  小结  实训  习题第三章  Multisim 9虛拟仪器的用法  第一节  常用指示器件的用法  第二节  常用虚拟仪器的用法  小结  实训  习题第四章  Multisim 9常用仿真分析  第一节  仿真分析的步骤  第二节  常用分析方法  第三节  仿真中遇到问题的解决办法  小结  实训  习题第五章  电路仿真与应用实践(实训)  第一节  模拟电路仿真  第二节  数字电路仿真  小结第六章  Protel DXP基础  第一节  Protel DXP概述  第二节  Protel DXP设计管理器及参数设置  第三节  Protel DXP文件管理  第四节  设置项目打印输出  小结  习题第七章  应用Protel DXP设计电路原理图  第一节  显示的操作  第二节  原理图环境设置  第三节  装入元件库  第四节  元件的操作  第五节  导线的操作  第六节  电源与接地符号  第七节  网络标号  第八节  放置线路节点  第九节  制作电路的输入/输出端口  第十节  原理图布局的调整  第十一节  层次式电路的绘制  第十二节  检查电气连接和生成报表  第十三节  快捷键的使用  小结  实训  习题第八章  应用Protel DXP设计电路板图  第一节  印制电路板概述  第二节  印制电路板编辑器界面缩放  第三节  工具栏的使用  第四节  Protel DXP印制电路板设计的步骤  第五节  电路板工作层面的设置  第六节  设置环境参数  第七节  规划电路板  第八节  准备电路原理图和网络表  第九节  网络表与元件封装的装入  第十节  自动布局  第十一节  网络密度分析  第十二节  3D效果图  第十三节  自动布线  第十四节  PCB验证和错误检查  第十五节  PCB的高级编辑技巧  第十六节  印制电路板报表和打印电路板  小结  实训  习题第九章  元件库的管理  第一节  Protel DXP元件库简介  第二节  创建元件原理图库  第三节  新建PCB器件库  第四节  创建集成元件库  小结  实训  习题第十章  可编程器件开发系统MAX+plus Ⅱ  第一节  VHDL程序基本结构  第二节  VHDL语言的基本元素和基本描述语句  第三节  MAX+plus Ⅱ概述  第四节  MAX+plus Ⅱ编辑器的使用  第五节  VHDL硬件描述语言逻辑设计方法  小结  实训  习题附录A  技能考证附录B  Protel DXP最常用的快捷键附录C  常见错误及处理技巧参考文献

章节摘录

  第一章 绪论  第一节 电子设计自动化(EDA)技术概述  一、EDA技术的概念  EDA(electronic design automation,电子设计自动化)技术是在电子CAD(computeraided design,计算机辅助设计)技术基础上发展起来的通用计算机设计软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。电子产品从系统设计、电路设计到芯片设计、PCB设计都可以用EDA工具完成,其中仿真分析、规则检查、自动布局和自动布线是计算机取代人工的最有效部分。  利用EDA工具,可以大大缩短设计周期,提高设计效率,减小设计风险。对于电路设计师来说,正确地应用仿真分析验证方案,正确评价仿真分析结果,是有效应用EDA工具、提高设计质量的重要环节。  二、EDA技术的设计  EDA设计可分为系统级、电路级和物理实现级。  (一)系统级设计  EDA技术代表着当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(application specific integrated circuits,ASIC)实现,然后采用硬件描述语言(hardware description language,HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。  进入20世纪90年代以来,电子信息类产品的开发明显呈现两个特点:一是产品复杂程度提高;二是产品上市时限紧迫。然而,电路级设计本质上是基于门级描述的单层次设计,设计的所有工作(包括设计输入、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行的,显然这种设计方法不能适应新的形势,一种高层次的电子设计方法,也即系统级设计方法,应运而生。

编辑推荐

  《普通高等教育"十一五"规划教材?电子设计自动化》所介绍的Multisim 9、Protel DXP 2004和MAX+plus Ⅱ三种主流软件,目前被公认为是电子设计自动化的新潮流中最新一代的电子线路设计系统,具有广阔的应用前景。所以,作为高等职业院校开设相应课程,使学生熟练掌握和运用此项技术非常必要。 全书内容翔实、层次分明、步骤详尽、图文并茂、通俗易懂,既适合作为高等职业院校电子信息类专业的教材,又适合从事电路设计人员和电路制作爱好者参考。

图书封面

评论、评分、阅读与下载


    电子设计自动化 PDF格式下载


用户评论 (总计0条)

 
 

 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7