例题解析电子电路

出版时间:2004-7  出版社:东方科龙  作者:尾崎弘 等 著  页数:275  

内容概要

  《例题解析电子电路(数字篇)》是“电子电路读本”系列之一。本系列共分四册。《例题解析电子电路(数字篇)》作为电子电路的数字部分,主要介绍逻辑数学基础、代码、组合逻辑电路、时序电路、数字功能电路的设计、基本门电路的设计,以及数字系统设计、A-D转换器与D-A转换器等。每章末附有练习题及其解答。  《例题解析电子电路(数字篇)》的特点是图文并茂、简明易懂,将相关的内容通过例题来讲解,以此避免读者在学习时的枯燥、乏味,提高理解能力;除此之外,重点名词给出相应的英文词汇,有些重点名词还给出注释。  《例题解析电子电路(数字篇)》适合作为大专院校“数字电路”的辅助参考教材,也可作为数字电子技术人员的参考学习用书。

书籍目录

第l章 逻辑数学基础1.1 基本概念1.1.1 命题,关系1.1.2 概念及其内涵和外延1.1.3 体系(系,系统),模型1.2 集合1.2.1 集合的定义1.2.2 子集,补集1.2.3 运算,幂集1.2.4 并集,交集,恒等式1.2.5 Veitch图和卡诺(Karnaugh)图1.2.6 对偶性,对偶定理1.2.7 对,组,直和,直积1.2.8 势(集合元素的个数),可数集合1.3 关系,对应,映射1.3.1 函数关系1.3.2 等价关系和次序关系1.3.3 分类和等价类1.4 次序集合,格,布尔代数1.4.1 次序集合1.4.2 格,逻辑代数(命题运算),布尔代数1.5 命题逻辑(二值逻辑代数)的概述1.5.1 命题的符号表示1.5.2 真值,真值表,真值变量(逻辑变量),真值函数(逻辑函数)1.5.3 其他几个逻辑运算1.5.4 基本的等式,类逻辑和幂集1.6 布尔代数1.6.1 布尔代数的定义1.6.2 对偶性1.6.3 布尔代数的模型1.6.4 生成元和生成的自由代数系,模型理论1.7 逻辑函数和逻辑电路1.7.1 组合电路和逻辑函数1.7.2 时序电路和逻辑函数1.8 逻辑函数1.8.1 最小项和主加法标准形1.8.2 用真值表给出的函数的展开(主加法标准形)1.8.3 最大项和主乘法标准形1.8.4 所有的二元运算1.8.5 完全组练习题练习题解答第2章 代码2.1 信息,语言,代码2.1.1 信息,信息量2.1.2 语言,文本(公式,信号)2.1.3 存储(信息的储备),传输,传送2.2 代码(符号,字码)2.2.1 码元、码字、码制,n元码2.2.2 二值元素和二进制码2.2.3 r进制,二进制,2“进制2.2.4 各种二进制码2.3 二进制码的性质2.3.1 距离,距离空间,汉明间距2.3.2 二进制数的汉明间距2.3.3 n单位代码,n维立方体,幂集和布尔代数2.3.4 误差检测码和纠错码2.4 格雷码2.4.1 格雷码2.4.2 反演二进制G码2.4.3 代码轮和A-D转换2.5 补码2.5.1 使用绝对值和(正负)符号的数的表达2.5.2 补码2.5.3 根据补码进行运算和向存储装置中的存储2.5.4 移位练习题练习题解答第3章 组合逻辑电路3.1 组合电路3.1.1 概述3.1.2 基本的组合电路单元3.2 逻辑函数及其化简3.2.1 逻辑函数的和标准形与积标准形3.2.2 逻辑函数的化简3.2.3 多输出电路的化简3.3 组合电路的设计步骤参考文献练习题练习题解答第4章 时序电路4.1 时序电路及其表示方法4.1.1 时序电路的模型4.1.2 时序电路的电路构成4.1.3 触发器的必要个数和状态分配4.1.4 同步式时序电路4.2 触发器4.2.1 同步式触发器的动作计时4.2.2 RS触发器4.2.3 JK触发器4.2.4 D触发器4.2.5 T触发器4.3 简单的时序电路的例子及动作4.4 由触发器构成的时序电路4.4.1 时序电路的构成方法(之一)4.4.2 时序电路的构成方法(之二:完全解)4.4.3 时序电路的构成方法(之三)4.5 时序电路的化简4.5.1 霍夫曼一密立的方法4.6 关于时序电路的其他问题4.6.1 从输入输出系列来看时序电路和故障检查4.6.2 状态分配问题4.6.3 非同步电路参考文献练习题练习题解答第5章 数字功能电路的设计5.1 组合逻辑功能电路5.1.1 加减法器及其应用电路的设计5.1.2 比较器及其应用电路的设计5.1.3 译码器/编码器及其应用电路的设计5.1.4 多路转换器/信号分离器及其应用电路的设计……第6章 基本门电路的设计第7章 数字系统设计第8章 A-D转换器,D-A转换器

图书封面

评论、评分、阅读与下载


    例题解析电子电路 PDF格式下载


用户评论 (总计0条)

 
 

 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7