EDA技术与应用

出版时间:2012-7  出版社:清华大学出版社  作者:朱小祥 等主编  页数:275  字数:412000  

内容概要

《EDA技术与应用(21世纪高职高专规划教材)》由朱小祥、游家发主编,本书从应用的角度出发,首先介绍了EDA技术的基本概念、应用特点、可编程逻辑器件、硬件描述语言VHDL及常用逻辑单元电路的VHDL编程技术;然后以EDA应用为目的,通过EDA实例详细介绍了EDA技术的开发过程、开发工具软件QuartusⅡ的使用、EDA工程中典型的设计实例;最后介绍了EDA最小系统板的设计,使读者对其硬件有一个较充分的认识。本书各章节均配有习题及设计实例练习,便于读者学习和教学使用。书中程序考虑学生学习的多样性,在不同的EDA开发板上通过验证调试。
《EDA技术与应用(21世纪高职高专规划教材)》注重精讲多练,先进实用,可作为高职高专院校应用电子技术、电子信息技术等专业的教材,也可作为相关技术人员的设计参考书。

书籍目录

第1章 绪论
第2章 可编程逻辑器件
第3章 VHDL硬件描述语言
第4章 EDA开发工具
第5章 基本逻辑电路VHDL设计
第6章 有限状态机VHDL设计
第7章 数字系统VHDL设计
第8章 EDA最小系统板设计
参考文献

章节摘录

版权页:   插图:   ④vital库。使用vital库,可以提高VHDL门级时序模拟的精度,因而只在VHDL仿真器中使用,库中包含时序程序包vital_timing和vital_primitives。vital程序包已经成为IEEE标准,在当前的VHDL仿真器的库中,vital库中的程序包都已经并到ieee库中。实际上由于各FPGA/CPLD生产厂商的适配工具(如ispEXPERT Compiler)都能为各自的芯片生成带时序信息的VHDL门级网表,用VHDL仿真器仿真该网表可以得到非常精确的时序仿真结果,因此,基于实用的观点,在FPGA/CPLD设计开发过程中,一般并不需要vital库中的程序包。 除了以上提到的库外,EDA工具开发商为了FPGA/CPLD开发设计上的方便,都有自己的扩展库和相应的程序包,如Dataio公司的generics库、dataio库等,以及上面提到的Synopsys公司的一些库。 在VHDL设计中,有的EDA工具将一些程序包和设计单元放在一个目录下,而将此目录名如“work”作为库名,如Synplicity公司的Synplify。有的EDA工具是通过配置语句结构来指定库和库中的程序包,这时的配置即成为一个设计实体中最顶层的设计单元。此外,用户还可以自己定义一些库,将自己的设计内容或通过交流获得的程序包设计实体并入这些库中。 (2)库的用法。在VHDL中,库的说明语句总是放在实体单元前面,这样在设计实体内的语句就可以使用库中的数据和文件。由此可见,库的用处在于使设计者可以共享已经编译过的设计成果。VHDL允许在一个设计实体中同时打开多个不同的库,但库之间必须是相互独立的。 例如,某一程序中最前面的3条语句: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 表示打开ieee库后,再打开此库中的std_logic_1164程序包和std_logic_unsigned程序包的所有内容。由此可见,在实际使用中,库是以程序包集合的方式存在的,具体调用的是程序包中的内容。因此,对于任一VHDL设计,所需从库中调用的程序包在设计中应是可见的(可调出的),即以明确的语句表达方式加以定义,库语句指明库中的程序包以及包中的待调用文件。 对于必须以显式表达的库及其程序包的语言表达式,应放在每一项设计实体最前面,成为这项设计的最高层次的设计单元。库语句一般必须与use语句同用,库语句关键词library指明所使用的库名,use语句指明库中的程序包。一旦说明了库和程序包整个设计实体都可进入访问或调用,但其作用范围仅限于所说明的设计实体。VHDL要求一项含有多个设计实体的更大的系统中,每一个设计实体都必须有自己完整的库说明语句和use语句。

编辑推荐

《21世纪高职高专规划教材•电气、自动化、应用电子技术系列:EDA技术与应用》注重精讲多练,先进实用,可作为高职高专院校应用电子技术、电子信息技术等专业的教材,也可作为相关技术人员的设计参考书。

图书封面

评论、评分、阅读与下载


    EDA技术与应用 PDF格式下载


用户评论 (总计0条)

 
 

 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7