FPGA应用技术及实践

出版时间:2011-1  出版社:北京理工大学出版社  作者:刘睿强 编  页数:297  

内容概要

   EDA是当今世界上最先进的电子电路设计技术,其重要作用逐步被我国的产业界、科技界和教育界认可。   《FPGA应用技术及实践》由刘睿强主编,共7章,第1章EDA技术概述,主要讲EDA的含义,常用的EDA工具及EDA设计流程、发展趋势及应用。第2章可编程逻辑器件及FPGA开发简介,主要讲可编程逻辑器件的含义、发展历程及其基本结构,CPLD和FPGA的基本结构、特点及开发应用的不同,Xilinx新型系列器件。第3章基于ISE的开发环境使用指南,主要讲基于ISE的FPGA开发流程,ISE 11.1设计输入、综合、实现及下载等基本操作方法,ISE 11.1的在线逻辑分析仪的使用。第4章第三方工具介绍,主要讲Modelsim和Synplify Pro的安装过程,利用Modelsim进行功能和时序仿真的流程。利用Synplify Pro进行综合的流程。第5章简单数字逻辑电路的设计,主要讲基于Xilinx FPGA的简单数字逻辑电路设计,基于Isim的数字逻辑电路仿真。第6章EDA技术综合设计应用,主要讲基于XilinxFPGA的复杂数字逻辑电路的设计方法,数字逻辑电路的仿真方法。第7章基于FPGA的嵌入式系统开发,主要讲基于FPGA的可编程嵌入式系统开发,EDK嵌入式设计流程,EDK嵌入式设计的操作方法。   《FPGA应用技术及实践》在编写过程中邀请相关企业一线工程师参与编写工作,突出实用性、针对性,本书可作为高等院校工科电子信息类、通信类、自动化类专业师生及相关工程技术人员、FPGA/CPLD初学者的参考用书。

书籍目录

第1章  EDA技术概述1.1 EDA技术及其发展1.1.1 EDA技术的含义1.1.2 EDA技术的发展历程1.2 EDA技术的主要内容1.2.1 自顶向下的设计方法1.2.2 ASIC设计1.2.3 硬件描述语言1.2.4 主要PLD厂商概述1.3 常用的EDA工具1.3.1 设计输入编辑器1.3.2 HDL综合器1.3.3 仿真器1.3.4 适配器1.3.5 下栽器1.4 EDA设计流程1.4.1 设计输入1.4.2 综合1.4.3 适配1.4.4 时序仿真与功能仿真1.4.5 编程下载1.4.6 硬件测试1.5 EDA技术的发展趋势1.6 EDA技术的应用1.6.1 EDA技术的应用形式1.6.2 EDA技术的应用场合本章小结思考与练习第2章  可编程逻辑器件及FPGA开发简介2.1 可编程逻辑器件基础2.1.1 可编程逻辑器件简介2.1.2 可编程逻辑器件的发展历史2.1.3 可编程逻辑器件的基本结构2.1.4 可编程逻辑器件的分类2.2 CPLD的基本结构及特点2.3 FPGA的基本结构及特点2.4 FPGA和CPLD的开发应用选择2.4.1 FPGA和CPLD的性能比较2.4.2 FPGA和CPLD的开发应用选择2.5 Xilinx新型系列器件简介2.5.1 Spartan系列2.5.2 ViIrex系列本章小结思考与练习第3章  基于ISE的开发环境使用指南3.1 ISE介绍与安装3.1.1 ISE软件介绍3.1.2 ISE软件的安装3.1.3 ISE软件的基本操作3.2 ISE的工程建立与设计输入3.2.1 ISE的工程建立3.2.2 基于ISE的HDL代码输入3.2.3 基于ISE代码模板的使用3.2.4 基于ISE的原理图输入法3.2.5 基于ISE的IP Core的使用3.3 基于ISE的仿真3.4 基于ISE的综合与实现3.4.1 基于Xilinx XST的综合3.4.2 基于ISE的实现3.5 FPGA配置与编程3.5.1 Xilinx FPGA配置电路综述3.5.2 iMPACT的基本操作3.5.3 使用iMPACT创建配置文件3.6 约束文件的编写3.6.1 约束文件的定义3.6.2 UCF文件的语法说明3.6.3 ISE中UCF的编写3.7 集成化逻辑分析仪3.7.1 Chipscope Pro——集成化逻辑分析工具简介3.7.2 Chipscope Pro的使用流程3.7.3 Chipscope Pro Inserter的操作和使用3.7.4 Chipscope Pro Analyzer使用流程本章小结一思考与练习第4章  第三方工具介绍4.1 Modelsim SE 6.2软件的使用4.1.1 Modelsim SE 6.2软件的安装4.1.2 利用Modelsim SE 6.2进行功能仿真4.1.3 利用Modelsim SE 6.2进行时序仿真4.2 Synplify:Pro软件的使用4.2.1 Synplify Pro 9.0.1软件的安装4.2.2 Synplify Pro 9.0.1软件的使用本章小结思考与练习第5章  简单数字逻辑电路的设计5.1 基于Xilinx FPGA的组合逻辑电路设计5.1.1 基本逻辑门电路设计5.1.2 编码器设计5.1.3 译码器设计5.1.4 数值比较器设计5.1.5 数据选择器设计5.1.6 总线缓冲器设计5.2 时序逻辑电路设计5.2.1 时钟信号和复位信号5.2.2 触发器设计5.2.3 移位寄存器5.2.4 计数器设计5.3 存储器设计5.3.1 只读存储器ROM5.3.2 随机存储器RAM5.3.3 FIFO的设计5.4 有限状态机的设计5.4.1 有限状态机原理5.4.2 有限状态机分类5.4.3 有限状态机设计本章小结思考与练习第6章  EDA技术综合设计应用6.1 数字时钟的设计及实现6.1.1 数字时钟的功能要求6.1.2 数字时钟的Verilog HDL描述6.1.3 设计实现及验证6.2 多功能信号发生器的设计及实现6.2.1 功能要求6.2.2 模块设计6.2.3 设计实现及验证6.3 序列检测器的设计及实现6.3.1 功能要求和结构6.3.2 序列检测器的Verilog HDL描述6.3.3 序列检测器仿真验证6.4 交通灯信号控制器的设计及实现6.4.1 功能要求6.4.2 模块设计6.4.3 设计实现及验证6.5 通用异步接收发送器的设计及实现6.5.1 UART设计原理6.5.2 接收模块设计6.5.3 接收模块设计6.6 AD实验6.6.1 功能.要求6.6.2 模块设计6.6.3 设计实现及验证6.7 VGA显示实验6.7.1 VGA显示原理6.7.2 VGA控制器的设计6.7.3 设计实现及验证6.8 PS/2实验6.8.1 PS/2通信协议6.8.2 PS/2控制器的设计6.9 LCD显示字符实训6.9.1 功能要求6.9.2 模块设计6.9.3 设计实现及验证6.10 步进电动机实验6.10.1 功能要求6.10.2 模块设计6.10.3 设计实现及验证本章小结思考与练习第7章  基于FPGA的嵌入式系统开发7.1 可编程嵌入式系统介绍7.1.1 基于FPCA的嵌入式系统7.1.2 Xilinx公司的嵌入式解决方案7.2 EDK简介7.2.1 EDK的介绍7.2.2 EDK设计的实现流程7.2.3 EDK的文件管理架构7.3 XPS软件基本操作7.3.1 利用BSB创建新工程7.3.2 XPS的用户界面7.4 XPS软件的高级操作7.4.1 XPS的软件输入7.4.2 XPS工程的实现和下载7.5 EDK开发实例7.5.1 DDR SDRAM控制器的工作原理7.5.2 DDR SDRAM控制器的基本要求7.5.3 DDR SDRAM控制器的EDK实现本章小结思考与练习参考文献

图书封面

评论、评分、阅读与下载


    FPGA应用技术及实践 PDF格式下载


用户评论 (总计0条)

 
 

 

250万本中文图书简介、评论、评分,PDF格式免费下载。 第一图书网 手机版

京ICP备13047387号-7